fabsurplus.com

List of T equipment available for sale at fabsurplus.com

The following are the items available for sale related to T at SDI fabsurplus.com. To inquire about the T equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of T items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
110708 Accretech PG200RM Fully Automatic Wafer Back Grinder 200 MM 01.06.2004 1 as is where is immediately
110709 Accretech UF200A Prober 200 MM 01.06.2001 1 as is where is immediately
110710 Accretech UF200A Prober 200 MM 01.12.2000 1 as is where is immediately
109540 Accretech UF3000 Prober 300 mm 01.05.2005 9 as is where is immediately
111360 Accretech / TSK UF3000EX 01.01.2022 1 as is where is immediately
109571 Accretech / TSK UF3000 Fully Automated Prober 300 mm 01.05.2009 9 as is where is immediately
111359 Accretech / TSK UF3000EX Prober with HDD 1 as is where is immediately
95398 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95399 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95400 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95401 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95402 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95403 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
54226 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 7 as is where is immediately
108333 Accretech/TSK UF3000 Production Wafer Prober 300 mm 7 as is where is
109289 AD-TEC AMV-1000-AA RF GENERATOR Spares 1 as is where is
109290 AD-TEC AMV-2000-AMT RF GENERATOR Spares 4 as is where is
109291 AD-TEC AX-1000Ⅲ-27M-LC-GT RF GENERATOR Spares 1 as is where is
109292 AD-TEC TR-3000-EI1-ET RF GENERATOR Spares 1 as is where is
98706 Adixen Alcatel ADS 602H Dry Vacuum pump combo Pump 01.05.2008 1 as is where is immediately
109622 Adtec AMV Controller Miscellaneous SPARES 1 inquire
109623 Adtec AMV Controller Miscellaneous SPARES 1 inquire
109624 Adtec AX-100 MF Generator SPARES 1 inquire
109625 Adtec AX-100 MF Generator SPARES 1 inquire
109626 Adtec AX-100 MF Generator SPARES 1 inquire
109627 Adtec AX-100 MF Generator SPARES 1 inquire
109628 Adtec AX-3000 MF Generator SPARES 1 inquire
109629 Adtec AX-3000 P Generator SPARES 1 inquire
77166 Advantech IPC-5475 data acquisition card Spares 3 as is where is immediately
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 Spares 1 as is where is immediately
77207 Advantech PCL-730 data acquisition card 32ch TTL DIO Spares 1 as is where is immediately
74142 Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO 31.12.2007 2 as is where is
74143 Advantech PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation 31.12.2007 2 as is where is
108548 ADVANTEST M6751AD Test Handler 200 MM 1 as is where is
108549 ADVANTEST T5382A1 Test System TEST 1 as is where is immediately
108550 ADVANTEST T5585 Test System 200 mm 1 as is where is immediately
108551 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109575 ADVANTEST T5377 Automated test Equipment Test 01.06.2010 6 as is where is immediately
108552 ADVANTEST M6541AD Test Handler 200 mm 01.12.2000 1 as is where is immediately
109576 ADVANTEST T5593 Automated test Equipment Test 01.06.2004 1 as is where is immediately
108553 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is immediately
109577 ADVANTEST T5585 Automated test Equipment Test 01.06.2001 1 as is where is immediately
108554 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109068 Advantest M6541AD Test handler with Cold Option TEST 1 inquire immediately
108045 Advantest M6771AD TEST HANDLER TEST 1 as is where is
108048 Advantest T5371 Automated test equipment TEST 5 as is where is
108050 Advantest T5585 Automated test equipment TEST 1 as is where is
108057 Advantest T5381ES ATE Tester TEST 3 as is where is
94490 Advantest T5375 Automated Test Equipment TEST 2 as is where is immediately
108058 Advantest T5585 ATE Tester TEST 1 as is where is
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS Spares 2 as is where is immediately
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) TEST 01.11.1997 1 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
99379 ADVANTEST T5375 High-Speed Flash Memory tester TEST 1 as is where is immediately
99380 ADVANTEST T5771ES Automated Test Equipment for laboratory or office use TEST 1 as is where is immediately
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) Spares 01.05.2005 1 as is where is immediately
76604 Advantest TR6846 Digital Multimeter Electronics test and measurement 1 as is where is immediately
99388 Advantest Versatest V4000 Automated Test Equipment for laboratory or office use TEST 01.06.2006 1 as is where is immediately
100668 Advantest V3300 Memory Tester TEST 1 as is where is
99389 Advantest Versatest V4000 Automated Test Equipment TEST 01.06.2006 1 as is where is immediately
99390 Advantest Versatest V4000 Automated Test Equipment TEST 01.06.2006 1 as is where is immediately
109120 Advantest V5000 Automated Test equipment TEST 01.06.2010 11 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 Spares 2 as is where is
106570 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board Spares 11 as is where is immediately
106571 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
109131 Advantest T5585 Memory Tester TEST 1 as is where is
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
106572 ADVANTEST T5585 Memory Tester TEST 01.06.2001 1 as is where is
109132 Advantest T5585 Memory Tester TEST 1 as is where is
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
106573 ADVANTEST T5585 Memory Tester TEST 1 as is where is
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80208 Advantest BGR-018931 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
83550 Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P Spares 2 as is where is immediately
87652 Advantest T5371 Test system (With a single test head ) Test 1 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92007 Advantest BGR-018822 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92009 Advantest T5335P Boards from an Advantest T5335P Test system spares 18 as is where is immediately
103812 Advantest T5335P Automated Test System test 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
80295 Advantest BGR-019266 ADVANTEST T5335P MRA I/F PC Board Spares 1 as is where is immediately
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80297 Advantest BGR-018824 Rev X03 ADVANTEST T5335P PC Board Spares 8 as is where is immediately
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80299 Advantest BGR-018822 ADVANTEST T5335P PC Board PGR-818822BB2 Spares 4 as is where is immediately
80300 Advantest BGR-018125 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80302 Advantest BIR-021807 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
110772 Advantest M6542AD TEST HANDLER WITH COLD OPTION TEST 1 inquire immediately
108233 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108234 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108235 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108236 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108237 Advantest M6451AD TEST HANDLER TEST 01.06.2002 1 as is where is
108238 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108239 Advantest M6451AD TEST HANDLER TEST 01.06.2001 1 as is where is
108240 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108241 Advantest M6751AD TEST HANDLER TEST 01.06.2001 1 as is where is
108242 Advantest T5371 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108243 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108244 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108245 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108246 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108247 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
108248 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2002 1 as is where is
108249 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108250 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
109022 Advantest Versatest V6000 Test Engineering Workstation for Office or Laboratory Use Test 01.05.2008 1 as is where is immediately
109538 ADVANTEST 93000 PS1600 Automated Test Equipment for SOC testing TEST 01.02.2020 1 as is where is immediately
82926 Advantest WUN-H90554AIR ADVANTEST air control unit Spares 1 as is where is immediately
108993 Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P Spares 1 immediately
108795 AET TECHNOLOGIES Four de Recuit Rapide RTP Tool 1 inquire
108720 AG Associates Heatpulse 410 Rapid Thermal Processor 1 as is where is
108796 AG ASSOCIATES Heatpulse 410 Benchtop RTP Tool 1 inquire
108555 Agilent 16702A LOGIC ANALISYS SYSYEM TEST 1 as is where is immediately
109894 Agilent Multimeter Miscellaneous SPARES 1 inquire
109895 Agilent Multimeter Miscellaneous SPARES 1 inquire
109896 Agilent Multimeter Miscellaneous SPARES 1 inquire
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.03.2008 1 as is where is immediately
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.05.2008 1 as is where is immediately
18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 1 as is where is
53031 Agilent / HP / Verigy GPIB IEEE488 Cable GPIB IEEE488 Cable spares 1 as is where is immediately
108897 Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM TEST 01.05.2011 1 as is where is immediately
108899 Agilent / Verigy 4073A PARAMETRIC TEST SYSTEM TEST 01.05.2001 1 as is where is
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter Electronics Test and Measurement 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2008 1 as is where is immediately
80267 Air Products Precision Tool Manometer 1.5 Bar spares 9 as is where is immediately
80268 AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST APSA46/2250 30.04.1993 2 as is where is immediately
83904 AIR PRODUCTS F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL P/N: 101203-2 01.10.1989 1 as is where is immediately
108721 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES 1 as is where is immediately
108797 AIRCO TEMESCAL CV-8-210 E Beam Power Supply Controller, 3ea Available - Parts Only SPARES 1 inquire
111368 Aixtron G3 2600 Reactor 01.01.2007 1 as is where is immediately
84766 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 730 mm x 920 mm (G6) 30.11.2013 1 as is where is immediately
108712 AKT 1600 PECVD Gen 2 PECVD deposition system Gen 2 01.05.2005 1 inquire immediately
108724 Alcan Tech/Canon MAS-8000 Microwave Ashing System 200 mm 1 as is where is immediately
77087 Alcatel flange Alcatel pump flange 4" ID 5 1/4" OD, SST 1 as is where is
108725 ALCATEL 5150CP Turbo Molecular Vacuum Pump PUMP 2 as is where is immediately
109574 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 118 as is where is immediately
110754 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 5 as is where is immediately
109898 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109899 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109900 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109901 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109902 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109903 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109904 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109905 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109906 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109907 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 as is where is immediately
111407 AMAT 0010-28715 ESC Heater for TXZ 300mm 1 as is where is immediately
84551 AMAT 0041-61611 Retaining ring, Titan II, 8" *NEW* 1 as is where is immediately
109908 AMAT 2MHZ Ice Match Match SPARES 1 inquire
109909 AMAT 8300 Match SPARES 1 inquire
109910 AMAT 8300 Match SPARES 1 inquire
109911 AMAT 8300 Match SPARES 1 inquire
109912 AMAT DC Power Supply Power Supply SPARES 1 inquire
109913 AMAT Manual Controller Miscellaneous SPARES 1 inquire
109914 AMAT Manual Controller Miscellaneous SPARES 1 inquire
109915 AMAT Manual Controller Miscellaneous SPARES 1 inquire
109916 AMAT Micro Match Match SPARES 1 inquire
109917 AMAT P5000 Match SPARES 1 inquire
109918 AMAT P5000 Match SPARES 1 inquire
109919 AMAT P5000 Match SPARES 1 inquire
109920 AMAT P5000 Match SPARES 1 inquire
109921 AMAT P5000 Match SPARES 1 inquire
109922 AMAT P5000 Match SPARES 1 inquire
109923 AMAT P5000 Match SPARES 1 inquire
109924 AMAT P5000 Match SPARES 1 inquire
109925 AMAT P5000 Match SPARES 1 inquire
109926 AMAT P5000 Match SPARES 1 inquire
109927 AMAT P5000 Match SPARES 1 inquire
109928 AMAT P5000 Controller Miscellaneous SPARES 1 inquire
109929 AMAT P5000 Controller Miscellaneous SPARES 1 inquire
109930 AMAT P5000 Controller Miscellaneous SPARES 1 inquire
109931 AMAT Phase Mag Detector Miscellaneous SPARES 1 inquire
109932 AMAT Phase Mag Detector Miscellaneous SPARES 1 inquire
109933 AMAT Phase Mag Detector Miscellaneous SPARES 1 inquire
109934 AMAT RF Match Match SPARES 1 inquire
109935 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109936 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109937 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109938 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109939 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109940 AMAT RF Match, VOR Match SPARES 1 inquire
109941 AMAT Vectra IMP Match SPARES 1 inquire
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 Spares 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
110785 AMAT 0195-01314 Dual zone ceramic heater 300mm 1 as is where is immediately
83669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3 as is where is immediately
109357 AMETEK SGA200X25E-1DAA RF GENERATOR Spares 15 as is where is
84241 Anaheim Automation TM4500 AA4520 Stepper controller/driver board Spares 1 as is where is immediately
77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A Spares 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers FACILITIES 01.05.1995 1 inquire immediately
100908 AP Systems KORONA-1200P METAL RTP 300 mm 31.05.2005 1 as is where is
108132 APPLIED BIOSYSTEM ABI-3700 ANALYZER Automatic Sequencer 01.06.2000 1 as is where is
110848 Applied Materials IT1011-N31-X4 SMC UPA Regulator (X4) - CMP HEAD Spares 5 inquire
110849 Applied Materials 0090-00963 UPA Pressure Transducer - CMP HEAD Spares 5 inquire
110850 Applied Materials 0140-77300 Wafer loss sensor Cable - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
100868 Applied Materials Mirra Mesa CMP System 200 mm 1 inquire 5 months
110852 Applied Materials 0021-77866 Drive spindle clamp - CMP HEAD Spares 5 inquire
108037 Applied Materials Various Spare Parts Spare Parts for sale Spares 1 as is where is immediately
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110854 Applied Materials EE-SX672 Sweep Home flag sensor - CMP HEAD Spares 5 inquire
109063 Applied Materials Mirra Mesa Oxide CMP system, with SMIF 200 mm 1 inquire 1 month
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
100873 Applied Materials Mirra Mesa Integrated Oxide/STI CMP 200 mm 31.05.1999 1 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
110859 Applied Materials MIRRA MESA (SPARE PARTS) Head sweep linear guide - CMP HEAD Spares 5 inquire
108556 Applied Materials Uvision 600SP Brightfield Inspection System 300 mm 01.05.2008 1 as is where is immediately
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
108557 Applied Materials Centura EPI Epitaxial Deposition, reduced pressure, 2 chamber 300 mm 01.05.2002 1 as is where is immediately
110861 Applied Materials MIRRA MESA (SPARE PARTS) Wafer loss sensor pcb - CMP HEAD Spares 5 inquire
108558 Applied Materials ENDURA CL PVD Cluster tool 300 mm 01.05.2002 1 as is where is
109582 Applied Materials P5000 CVD SiN Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110862 Applied Materials 0190-38704 SMC2000 - Node 24 - CMP Cleaner Spares 5 inquire
108559 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2006 1 as is where is immediately
109583 Applied Materials P5000 CVD TEOS Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
108560 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
110864 Applied Materials MS1-286X70-4A-Q Megasonic Transducer Plate - CMP Cleaner Spares 5 inquire
108561 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
110865 Applied Materials AP-50 Megasonic White Knight Pump - CMP Cleaner Spares 5 inquire
110866 Applied Materials Mirra Mesa (Spare parts) mega genentor - CMP Cleaner Spares 5 inquire
110867 Applied Materials ETN23A-SC-B (Orion Pel-Thermo) Megasonic Heat Exchanger - CMP Cleaner Spares 5 inquire
110868 Applied Materials 0021-79131 Walking Beam Finger Pins - CMP Cleaner Spares 5 inquire
110869 Applied Materials 0040-43238 Solid PEEK mega rollar - CMP Cleaner Spares 5 inquire
91158 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2000 1 inquire
96534 Applied Materials Mirra Mesa CMP system 200 mm 1 inquire
110870 Applied Materials 0190-77181 Brush Position Roller Servomotor Scrubber - CMP Cleaner Spares 5 inquire
91159 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2001 1 inquire
110871 Applied Materials 0190-77182 Scrubber Brush Servomotor - CMP Cleaner Spares 5 inquire
91160 Applied Materials Centura WCVD WxZ Optima 200 mm 1 as is where is
110872 Applied Materials 0190-77212 Output Station Servo Motor - CMP Cleaner Spares 5 inquire
96537 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 01.05.1999 1 inquire
110873 Applied Materials Mirra Mesa (Spare parts) SRD finger set - CMP Cleaner Spares 5 inquire
91162 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 1 as is where is
96538 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 31.05.1999 1 inquire
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
108059 Applied Materials CENTURA 2 DPS Deep Trench Etcher, 2 chambers 150 mm 1 as is where is
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
108060 Applied Materials Centura 5300 HDP Omega Dry etch cluster tool with 2 Chambers SIO2 etch 200 mm 1 as is where is
110620 Applied Materials Centura Enabler Dry etcher 300 mm 1 as is where is
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
97053 Applied Materials ENDURA2 Chamber only Amber-(Ti) chamber 300 mm 1 as is where is immediately
110877 Applied Materials 0090-77173 Wafer Present Switch - CMP Cleaner Spares 5 inquire
97054 Applied Materials ENDURA2 Chamber only ESIP chamber 300 mm 1 as is where is immediately
110878 Applied Materials 0010-04118 Wafer Presence Sensor - CMP Cleaner Spares 5 inquire
110879 Applied Materials 0090-00915 Megasonice progammable level sensor - CMP Cleaner Spares 5 inquire
91168 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2000 1 inquire
109088 Applied Materials SEMVISION G3 Lite Defect Review SEM 300 mm 01.08.2007 1 as is where is 6 months
110880 Applied Materials 0090-00916 Megasonic Tank High Level Switch - CMP Cleaner Spares 5 inquire
97057 Applied Materials ENDURA2 Chamber only MOALD (IMP Ti) Chamber 300 mm 1 as is where is immediately
110881 Applied Materials 0090-00917 Megasonic Tank Level Level Switch - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110884 Applied Materials 0090-77241 Harness, H202 Low Level Sensor - CMP Cleaner Spares 5 inquire
97061 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
110885 Applied Materials 0090-77242 Harness, H202 LL Level Sensor - CMP Cleaner Spares 5 inquire
97062 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
109352 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110888 Applied Materials 0090-77179 Gripper sensor harness, arm 1 - CMP Cleaner Spares 5 inquire
109353 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110889 Applied Materials 0090-77180 Gripper sensor harness, arm 2 - CMP Cleaner Spares 5 inquire
109354 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110890 Applied Materials 0090-77181 Gripper sensor harness, arm 3 - CMP Cleaner Spares 5 inquire
109355 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110891 Applied Materials 0090-77182 Gripper sensor harness, arm 4 - CMP Cleaner Spares 5 inquire
109356 Applied Materials PVD RF MATCH RF GENERATOR Spares 1 as is where is
110892 Applied Materials 0090-77183 Gripper sensor harness, arm 5 - CMP Cleaner Spares 5 inquire
100909 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2015 1 as is where is
110893 Applied Materials 1400-01055 Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner Spares 5 inquire
91182 Applied Materials P5000 CVD Delta Teos 150 mm 31.05.1993 1 as is where is
100910 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2015 1 as is where is
109358 Applied Materials e-MAX RF MATCH RF GENERATOR Spares 6 as is where is
110894 Applied Materials 0090-00861 Harness, Wafer Present Switch - CMP Cleaner Spares 5 inquire
91183 Applied Materials P5000 CVD TEOS, DxL 200 mm 1 as is where is
100911 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2016 1 as is where is
109359 Applied Materials HDP-TOP RF GENERATOR Spares 1 as is where is
110895 Applied Materials 0021-79644 Megtank Roller holder - CMP Cleaner Spares 5 inquire
109360 Applied Materials MARKⅡDPS RF GENERATOR Spares 6 as is where is
110896 Applied Materials 0010-77582 Idler Assembly - CMP Cleaner Spares 5 inquire
91185 Applied Materials P5000 CVD DxL 150 mm 31.05.1995 1 as is where is
100913 Applied Materials DPS II AE Poly G3 dry etcher 300 mm 31.05.2006 1 as is where is
103473 Applied Materials CENTURA DPS G3 Poly 1ch / Mesa 1ch 300 mm 31.05.2007 1 as is where is
108337 Applied Materials Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch with qty 6 MESA 2 chambers 300 mm 1 as is where is immediately
109361 Applied Materials P-5000 POLY RF GENERATOR Spares 1 as is where is
110897 Applied Materials 0015-77174 Brush wafer roller motor pulley - CMP Cleaner Spares 5 inquire
100914 Applied Materials DPS II AE Poly G3 dry etcher 300 mm 31.05.2007 1 as is where is
103474 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2003 1 as is where is
109362 Applied Materials RF MATCH3 RF GENERATOR Spares 1 as is where is
110898 Applied Materials 0010-05398 zaytran assy dry and wet set - CMP Cleaner Spares 5 inquire
103475 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2005 1 as is where is
110899 Applied Materials 0010-77380 Lower Stopper Assembly - CMP Cleaner Spares 5 inquire
103476 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2010 1 as is where is
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
91189 Applied Materials P5000 CVD DxL 200 mm 1 as is where is
103477 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 1 as is where is
110901 Applied Materials 0015-77287 Pulley Driver - CMP Cleaner Spares 5 inquire
91190 Applied Materials P5000 CVD DxL 200 mm 1 as is where is
103478 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 1 as is where is
110902 Applied Materials 0190-77502 Vessel, Pressure, 2-Chamber - CMP Cleaner Spares 5 inquire
110903 Applied Materials 0190-77397 Chem Vessel, 2.4 Liter - CMP Cleaner Spares 5 inquire
91192 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
109112 Applied Materials Verity 2 CD MEASUREMENT SEM 200 mm 01.06.2004 1 immediately
110904 Applied Materials 0190-77393 Bleed Valve Manifold - CMP Cleaner Spares 5 inquire
91193 Applied Materials P5000 Mark-II CVD TEOS 150 mm 1 as is where is
108345 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300 mm 7 as is where is
110905 Applied Materials 3220-01136 Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner Spares 5 inquire
83514 Applied Materials Opal 7830i Enhanced CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers 100 mm to 200 mm 01.05.1997 1 as is where is immediately
91194 Applied Materials P5000 Mark-II CVD DxL 200 mm 1 as is where is
103482 Applied Materials DPS SILVIA Silvia 2ch / Axiom 1ch 300 mm 1 as is where is
110906 Applied Materials 1010-01437 Quartz Infrared Halogen Lamp - CMP Cleaner Spares 5 inquire
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 200 mm 01.06.1999 1 inquire immediately
91195 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
103483 Applied Materials DPS2 Poly Etcher 300 mm 1 as is where is
108347 Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch 300 mm 2 as is where is
110907 Applied Materials 0190-36511 Devicenet I/O Block Specification - CMP Cleaner Spares 5 inquire
91196 Applied Materials P5000 Mark-II CVD+Etch Sputter 200 mm 1 as is where is
103484 Applied Materials DPS2 Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
108348 Applied Materials Centura AP ASP II - Chamber Only Metal Etch 300 mm 1 as is where is
110908 Applied Materials 0870-01038 200V 100W SGDA - CMP Cleaner Spares 5 inquire
103485 Applied Materials DPS2 AE Poly Etcher 300 mm 1 as is where is
108349 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300 mm 3 as is where is
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
103486 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110910 Applied Materials 0870-01039 200V 400W SGDA - CMP Cleaner Spares 5 inquire
91199 Applied Materials P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch 200 mm 01.05.1997 1 as is where is
103487 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
107327 Applied Materials Vectra Match RF Match Spares 1 inquire immediately
110911 Applied Materials 1140-01353 Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner Spares 5 inquire
103488 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
91201 Applied Materials P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch 200 mm 01.05.2000 1 as is where is
103489 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110913 Applied Materials 1140-01368 Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner Spares 5 inquire
103490 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
103491 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 1 as is where is
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
91204 Applied Materials P5000 WCVD WxL 200 mm 1 as is where is
103492 Applied Materials DPS2 AE MINOS (Dry Etch) 300 mm 31.05.2005 1 as is where is
108356 Applied Materials Endura II Chamber: CIP W PVD PVD (Physical Vapor Deposition) 300 mm 1 as is where is
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
91205 Applied Materials P5000 WCVD WxL 200 mm 1 as is where is
103493 Applied Materials DPS2 AE MINOS (Dry Etch) 300 mm 31.05.2006 1 as is where is
108357 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 300 mm 3 as is where is
110661 APPLIED MATERIALS UVISION 5 Bright Field Inspection 300 mm 01.06.2011 1 as is where is immediately
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
103494 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
110918 Applied Materials 3350-50000 Fabs Robot Ceramic Blade - CMP FABS Spares 5 inquire
103495 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
108359 Applied Materials Oasis Clean Batch Wafer Processing 300 mm 3 as is where is
110919 Applied Materials Mirra Mesa (Spare parts) RORZE RD-023MS motor driver - CMP FABS Spares 5 inquire
91208 Applied Materials Producer GT Chamber (A) SICONI Chamber only 300 mm 1 as is where is
103496 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
108360 Applied Materials Producer Etch eXT Dielectric Dielectric Etch 300 mm 3 as is where is
110920 Applied Materials Mirra Mesa (Spare parts) RC233 Generate master - CMP FABS Spares 5 inquire
91209 Applied Materials Producer GT Chamber (B) SICONI Chamber only 300 mm 1 as is where is
110921 Applied Materials Mirra Mesa (Spare parts) RC204A I/O master - CMP FABS Spares 5 inquire
110922 Applied Materials Mirra Mesa (Spare parts) RD-026MSA Step driver - CMP FABS Spares 5 inquire
103499 Applied Materials ENDURA 2 CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas 300 mm 31.05.2017 1 as is where is
110923 Applied Materials Mirra Mesa (Spare parts) SANYO DENKI, Stepping Motor, Substitute loadport lifter motor - CMP FABS Spares 5 inquire
103500 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 31.05.2001 1 as is where is
110924 Applied Materials 0100-13016 Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller Spares 5 inquire
103501 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 31.05.2008 1 as is where is
109133 Applied Materials AERA 4 Reticle Inspection RETICLE 1 as is where is
110925 Applied Materials ModelUDK5128NA Head Sweep Driver - CMP controller Spares 5 inquire
103502 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 1 as is where is
109134 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110926 Applied Materials 1110-01058 Port Server -Digi EL16 with PS - CMP controller Spares 5 inquire
103503 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2004 1 as is where is
106575 Applied Materials Centura AP Ultima Chamber HDPCVD Chamber only 300 mm 1 as is where is
109135 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110927 Applied Materials 0660-01820 Applied Material MEI Board - CMP controller Spares 5 inquire
103504 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2006 1 as is where is
106576 Applied Materials CENTURA DPS G3 Poly 2ch / Mesa 1ch 300 mm 01.06.2001 1 as is where is
109136 Applied Materials Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm 1 as is where is
110928 Applied Materials 0100-09054 Applied Material AIO Board - CMP controller Spares 5 inquire
103505 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2010 1 as is where is
106065 Applied Materials Mirra ® 3400 Stand-Alone CMP System 200 mm 01.06.2001 1 as is all rebuilt immediately
106577 Applied Materials CENTURA DPS G3 Poly 3ch 300 mm 01.06.2006 1 as is where is
109137 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm 1 as is where is
110929 Applied Materials 0100-20003 DIO board - CMP controller Spares 5 inquire
103506 Applied Materials ENDURA CL PVD 300 mm 31.05.2010 1 as is where is
106578 Applied Materials CENTURA DPS G3 Poly 3ch 300 mm 01.06.2006 1 as is where is
109138 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm 1 as is where is
110930 Applied Materials 0100-77035 Robot X Track board - CMP controller Spares 5 inquire
106579 Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch 300 mm 01.06.2013 1 as is where is
109139 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110931 Applied Materials 0190-14372 Pad Cond Driver SGDA-01AS - CMP controller Spares 5 inquire
103508 Applied Materials PRODUCER GT Ht ACL 3ch / Server OS Type 300 mm 31.05.2014 1 as is where is
106580 Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch 300 mm 01.06.2010 1 as is where is
109140 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110932 Applied Materials SGDB-44ADG Platen Driver - CMP controller Spares 5 inquire
103509 Applied Materials PRODUCER GT BD2_CU 300 mm 31.05.2002 1 as is where is
106581 Applied Materials CENTURA DPS G5 MESA Poly 3ch / AXIOM 1ch / Server OS Type 300 mm 01.06.2011 1 as is where is
109141 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110933 Applied Materials SGDA-04AS Cross driver - CMP controller Spares 5 inquire
103510 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 31.05.2003 1 as is where is
106582 Applied Materials CENTURA MCVD WxZ Optima 200 mm 01.06.2001 1 as is where is
109142 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110934 Applied Materials SGDA-02AS Robot x driver - CMP controller Spares 5 inquire
103511 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 1 as is where is
106583 Applied Materials CENTURA 5200 DPS Poly Etcher 200 mm 01.06.1999 1 as is where is
109143 Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm 1 as is where is
110935 Applied Materials 0870-01080 Vexta Wet Robot Driver - CMP controller Spares 5 inquire
103512 Applied Materials RAIDER ECD Copper Electroplating System 300 mm 31.05.2005 1 as is where is
106584 Applied Materials ENDURA 2 (Gray Rack) 3CH (WxZ) 300 mm 01.06.2007 1 as is where is
109144 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm 1 as is where is
110936 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 10 Amp - CMP controller Spares 5 inquire
103513 Applied Materials RAIDER ECD Copper Electroplating System 300 mm 01.05.2006 1 as is where is
106585 Applied Materials ENDURA 2 Chamber Only ALPS 300 mm 1 as is where is
109145 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm 1 as is where is
110937 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 1 Amp - CMP controller Spares 5 inquire
106586 Applied Materials ENDURA 2 Chamber Only MOALD (IMP TiN) 300 mm 1 as is where is
109146 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110938 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 4 Amp - CMP controller Spares 5 inquire
103515 Applied Materials Vantage RTP cluster tool with Server OS Type 300 mm 01.12.2014 1 as is where is immediately
106587 Applied Materials ENDURA 2 CHAMBER ONLY PCXT 300 mm 01.06.2019 1 as is where is
109147 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110939 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 2 Amp - CMP controller Spares 5 inquire
106588 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2019 1 as is where is
109148 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110684 Applied Materials Sting Dark Field Defect Inspection 300 mm 01.06.2009 1 as is where is immediately
110940 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 5 Amp - CMP controller Spares 5 inquire
106589 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2018 1 as is where is
109149 Applied Materials ComPLUS MP Darkfield Inspection 200mm 1 as is where is
110941 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 7 Amp - CMP controller Spares 5 inquire
106590 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2018 1 as is where is
109150 Applied Materials ComPLUS MP Darkfield Inspection 200mm 1 as is where is
110942 Applied Materials 0190-03358 AMAT Power supply SP664 - CMP controller Spares 5 inquire
106591 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2019 1 as is where is
109151 Applied Materials Endura II Chambers: Multiple PVD (Physical Vapor Deposition) 300mm 1 as is where is
110687 Applied Materials Aera 2 Photomask Inspection System 300 mm 01.06.2009 1 as is where is immediately
110943 Applied Materials 0110-77037 Controller Interlock Board 1 - CMP controller Spares 5 inquire
106592 Applied Materials ENDURA 2 CHAMBER ONLY RPC 300 mm 01.06.2007 1 as is where is
109152 Applied Materials Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
110944 Applied Materials 0110-77038 Controller Interlock Board 2 - CMP controller Spares 5 inquire
106593 Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti 300 mm 1 as is where is
109153 Applied Materials Reflexion - Dielectric Dielectric CMP 300mm 1 as is where is
110945 Applied Materials Mirra Mesa (Spare parts) CPU 3 - CMP controller Spares 5 inquire
106594 Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti 300 mm 1 as is where is
109154 Applied Materials Reflexion LK Oxide Dielectric CMP 300mm 1 as is where is
110946 Applied Materials Mirra Mesa (Spare parts) SEI Board - CMP controller Spares 5 inquire
106595 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
110947 Applied Materials Mirra Mesa (Spare parts) SST dnet board - CMP controller Spares 5 inquire
106596 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
110948 Applied Materials Mirra Mesa (Spare parts) interface board - CMP controller Spares 5 inquire
106597 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
108133 Applied Materials CENTURA MCVD WxZ Optima 200 mm 01.06.2000 1 as is where is
110949 Applied Materials Mirra Mesa (Spare parts) wet robot power supply - CMP controller Spares 5 inquire
106598 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
108134 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only 300 mm 1 as is where is
110950 Applied Materials Mirra Mesa (Spare parts) light tower pcb - CMP controller Spares 5 inquire
106599 Applied Materials ENDURA 2 CHAMBER ONLY TXZ ALD chamber 300 mm 1 as is where is immediately
108135 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only 300 mm 1 as is where is
110951 Applied Materials Mirra Mesa (Spare parts) SSD Harddisk - CMP controller Spares 5 inquire
93032 Applied Materials CENTRIS DPS MESA Dry Etch, Twin 3chamber 300 MM 01.05.2010 1 as is where is immediately
106600 Applied Materials ENDURA CL Chamber Only CVD AL(AXZ) 300 mm 01.06.2002 1 as is where is
108136 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only 300 mm 1 as is where is
106601 Applied Materials ENDURA CL Chamber Only CVD AL(AXZ) 300 mm 01.06.2002 1 as is where is
108137 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only 300 mm 1 as is where is
93034 Applied Materials CENTURA ENABLER E2 Oxide Etcher /server OS PC 300 MM 31.05.2007 1 as is where is
106602 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108138 Applied Materials ENDURA 2 CHAMBER Only PCXT Chamber only 300 mm 01.06.2019 1 as is where is
93035 Applied Materials CENTURA ENABLER E5 Oxide Etcher /server OS PC 300 MM 31.05.2010 1 as is where is
106603 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108139 Applied Materials ENDURA CL Not include XP Robot 300 mm 01.06.2002 1 as is where is
93036 Applied Materials P5000 Etch no chamber (PLIS type) 200 mm 1 as is where is
106604 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108140 Applied Materials ENDURA CL 300 mm 1 as is where is
106605 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108141 Applied Materials ENDURA CL Chamber Only ESIP TAN (No Target) Chamber only 300 mm 1 as is where is
110701 Applied Materials P5000 Poly Etcher 150 mm 01.06.1995 2 as is where is immediately
106606 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108142 Applied Materials Olympia ALD 300 mm 1 as is where is
106607 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108143 Applied Materials P5000 Delta Dlh 3chCVD 150 mm 1 as is where is
106608 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108144 Applied Materials P5000 Mark II Metal ETCH 150 mm 1 as is where is
106609 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108145 Applied Materials P5000 Teos dlh 3ch CVD 150 mm 1 as is where is
106610 Applied Materials ENDURA CL Chamber Only RPC 300 mm 01.06.2002 1 as is where is
108146 Applied Materials Producer GT Chamber SICONI Chamber only 300 mm 01.06.2017 1 as is where is
106611 Applied Materials ENDURA CL Chamber Only RPC 300 mm 01.06.2002 1 as is where is
108147 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
70004 Applied Materials Baccini Misc parts For Baccini Solar Line - see attached list Spares 1 as is where is immediately
108148 Applied Materials Producer SE Chamber LLTO Chamber only 300 mm 01.06.2014 1 as is where is
106613 Applied Materials ENDURA2 CHAMBER ONLY PVD CHAMBER 300 mm 1 as is where is
108149 Applied Materials PRODUCER SE CHAMBER PECVD Silane Chamber Only 300 mm 1 as is where is
106614 Applied Materials ENDURA2 VOLTA CO CHAMBER QTY 2 SETS 300 mm 1 as is where is
108150 Applied Materials PRODUCER SE CHAMBER PECVD TEOS Chamber Only 300 mm 1 as is where is immediately
106615 Applied Materials G5-MESA DRY ETCH EFEM 300 MM 1 as is where is
106616 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110712 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1996 1 as is where is immediately
106617 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110713 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1999 1 as is where is immediately
106618 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110714 Applied Materials P5000 3 Chamber Dry Etch 150 MM 01.06.1995 1 as is where is immediately
93051 Applied Materials P5000 WXL 150 mm 31.05.1994 1 as is where is
106619 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110715 Applied Materials P5000 4 Chamber SiN CVD 150 MM 01.06.1993 1 as is where is immediately
106620 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110716 Applied Materials P5000 Mark 2 2 Chambers TEOS PECVD and 2 Chambers Dry Etch 150 MM 01.06.2000 1 as is where is immediately
93053 Applied Materials PRODUCER GT LLTO 300 mm 31.05.2014 1 as is where is
106621 Applied Materials P5000 Delta Teos 3ch, Sputter 1ch 150 mm 01.06.1996 1 as is where is
110717 Applied Materials P5000 3 Chambers PECVD TEOS 150 MM 01.06.1996 1 as is where is immediately
106622 Applied Materials P5000 Delta Teos 3ch, Sputter 1ch 200 mm 01.06.1998 1 as is where is
106623 Applied Materials P5000 DxL 2ch 200 mm 01.06.1996 1 as is where is
106624 Applied Materials PRODUCER GT ACL 1ch / Server X 300 mm 1 as is where is
106625 Applied Materials PRODUCER GT CH_A_FOX / CH_B_eHARP / Server OS Type 300 mm 01.06.2009 1 as is where is
106626 Applied Materials PRODUCER GT Ht_Acl 3ch / Server OS Type 300 mm 01.06.2006 1 as is where is
106627 Applied Materials PRODUCER GT Siconi 3ch 300 mm 01.06.2009 1 as is where is
108931 Applied Materials SEMVISION G5 MAX Defect Review SEM 300 mm 01.06.2011 1 as is where is immediately
106628 Applied Materials Producer GT Chamber HARP 300 mm 1 as is where is
91269 Applied Materials CENTURA 5200 MxP Poly 200 mm 1 as is where is
106629 Applied Materials Producer GT Chamber HARP 300 mm 1 as is where is
106630 Applied Materials Producer GT Chamber SICONI Chamber only 300 mm 01.06.2017 1 as is where is
36487 APPLIED MATERIALS P-5000 DxL DxL, TEOS 150mm 1 inquire
106631 Applied Materials Producer GT Chamber SIH4 300 mm 1 as is where is
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
106632 Applied Materials Producer GT Chamber only SICONI Chamber only 300 mm 01.06.2017 1 as is where is
106633 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 01.06.2004 1 as is where is immediately
106634 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
106635 Applied Materials PRODUCER SE SILANE 2ch / Server OS Type 300 mm 01.06.2011 1 as is where is
106636 Applied Materials PRODUCER SE Teos 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
91277 Applied Materials Centura DPS II CHAMBER Chamber only 300 mm 1 as is where is
106637 Applied Materials ENDURA 2 Chamber Only (IMP Ti) 300 mm 1 as is where is
106638 Applied Materials ENDURA 2 Chamber Only EXTENSA Ti 300 mm 01.06.2008 1 as is where is
91279 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91280 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91281 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91282 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91283 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91284 Applied Materials Etch dry chamber Only AXIOM, DPS 300 mm 1 as is where is
91285 Applied Materials Etch dry chamber Only AXIOM, DPS 300 mm 1 as is where is
91286 Applied Materials P5000 DELTA DLH 150 mm 1 as is where is
91287 Applied Materials P5000 DELTA DLH 150 mm 31.05.1993 1 as is where is
18840 APPLIED MATERIALS 0230-00101 Precision etch 8300 1 as is where is
18841 APPLIED MATERIALS 0230-09130 Precision 5000 Mark II spare part identified 1 as is where is
105881 Applied Materials Reflexion GT CMP system with integrated cleaner 300 MM 01.05.2011 1 as is where is immediately
18842 APPLIED MATERIALS 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual 1 as is where is
18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 1 as is where is
108699 Applied Materials Oasis HF Wafer cleaning system 300 MM 01.06.2006 1 as is where is immediately
4252 Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER Spares 01.01.1992 1 as is where is
18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures 1 as is where is
108701 Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber 200 mm 1 as is where is immediately
108705 Applied Materials Centura 5200 MxP Chamber MxP Etching Chamber 200 mm 1 inquire immediately
110767 Applied Materials Mirra 3400 Ontrak CMP Polisher with Cleaning System 200 mm 01.05.1997 1 as is where is immediately
91316 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 1 as is where is
93108 Applied Materials PRODUCER GT UV Bake Photostabilizer 300 mm 31.05.2017 1 as is where is
91317 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 1 as is where is
93109 Applied Materials PRODUCER GT UV Bake Photostabilizer 300 mm 31.05.2017 1 as is where is
106944 Applied Materials Endura 5500 (spare parts) Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts 150 mm 01.11.2001 1 as is where is immediately
111054 Applied Materials 0040-55456 BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT Spares 5 inquire
111055 Applied Materials 0200-01903 INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT Spares 5 inquire
111056 Applied Materials 0020-19258 PEDESTAL TITANIUM 300MM PCII - PC-XT Spares 5 inquire
111057 Applied Materials 0021-19342 SHIELD INNER 300MM PCII/RPC+ - PC-XT Spares 5 inquire
91602 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
110802 Applied Materials Endura IMP Ti / TiN Physical Vapor Deposition System 200 mm 01.06.2000 1 as is where is immediately
111058 Applied Materials 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT Spares 5 inquire
91603 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111059 Applied Materials 0040-07291 DEPOSITION RING ADV 101 300MM - DSTTN Spares 5 inquire
91604 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111060 Applied Materials 0021-26609 SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN Spares 5 inquire
91605 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111061 Applied Materials 0021-22064 COVER RING, TTN, 300MM PVD - DSTTN Spares 5 inquire
91606 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111062 Applied Materials 0021-22065 SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN Spares 5 inquire
91607 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
110807 Applied Materials 0240-31390 HCLU Load Cup Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111063 Applied Materials 0021-21234 SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN Spares 5 inquire
91608 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
98264 Applied Materials VANTAGE (Rediance 3.x) RTP 300 mm 31.05.2003 1 as is where is
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111064 Applied Materials 0021-26565 SHUTTER DISK - Aluminum Spares 5 inquire
110809 Applied Materials 1080-01170 Platen Motor Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111065 Applied Materials 0021-45259 SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum Spares 5 inquire
110810 Applied Materials 0190-14344 NSK Motor/driver Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111066 Applied Materials 0021-84173 COVER RING - Aluminum Spares 5 inquire
106203 Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP 200 mm 01.06.1998 1 inquire
110811 Applied Materials Mirra Mesa (Spare Parts) Cable from polisher to controller - CMP Polisher and Lower Mirra Spares 5 inquire
111067 Applied Materials 0200-07492 DEPOSITION RING - Aluminum Spares 5 inquire
110812 Applied Materials Mirra Mesa (Spare Parts) Head Spindle - CMP Polisher and Lower Mirra Spares 5 inquire
110813 Applied Materials 0040-77041 Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra Spares 5 inquire
108254 Applied Materials P5000 Mark 2 CVD system with 4 x DXZ chambers, Silane process 200 mm 01.06.2001 1 as is where is immediately
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
110814 Applied Materials 3080-01119 Platen Motor Belt - CMP Polisher and Lower Mirra Spares 5 inquire
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
110815 Applied Materials 0190-17083 Platen Polish Bearing - CMP Polisher and Lower Mirra Spares 5 inquire
91616 Applied Materials ENDURA CL Chamber Only AL 300 mm 1 as is where is
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
110816 Applied Materials 3970-00030 Platen Gear Box/ Head - CMP Polisher and Lower Mirra Spares 5 inquire
91617 Applied Materials ENDURA CL Chamber Only AL 300 mm 1 as is where is
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
110817 Applied Materials 0190-77114 Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra Spares 5 inquire
91618 Applied Materials ENDURA CL Chamber Only Chamber 1 (Ver. 001) CPI-VMO 300 mm 1 as is where is
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
110818 Applied Materials 0010-12344 Pad cond Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
91620 Applied Materials ENDURA CL Chamber Only SOURCE D (Ver. 003) CPI-VMO 300 mm 1 as is where is
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
106980 Applied Materials Reflexion CMP system 300 mm 1 inquire immediately
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
106981 Applied Materials Reflexion LK CMP system 300 mm 1 inquire immediately
109541 Applied Materials Centura AP DPS 2 G5 Polysilicon etcher, 3 chamber 300 mm 01.08.2008 1 as is where is immediately
110821 Applied Materials 0010-77721 Wet Robot Blade - CMP Polisher and Lower Mirra Spares 5 inquire
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
106982 Applied Materials Mesa CMP cleaning system 300 mm 1 inquire immediately
109542 Applied Materials Centura DPS II Advantedge POLY POLY ETCHER, 4 CHAMBER 300 mm 01.06.2010 1 as is where is immediately
110822 Applied Materials 0020-78177 Wet Robot blade gasket - CMP Polisher and Lower Mirra Spares 5 inquire
91623 Applied Materials ENDURA CL Chamber Only Chamber D (Ver. 001) CPI-VMO(eSIP TAN) 300 mm 1 as is where is
94439 Applied Materials Centura WCVD WxZ Optima 200 mm 1 as is where is
106215 Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER Spares 01.06.1998 2 as is where is immediately
106983 Applied Materials Desica CMP Cleaning system 300 mm 1 inquire immediately
109543 Applied Materials Centura AP DPS 2 Advantedge Mesa Polysilicon Etcher with 4 chambers 300 mm 01.08.2006 1 as is where is immediately
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
106984 Applied Materials Kawasaki 4.0 Fab Interface Module 300 mm 1 inquire immediately
109544 Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS 300 mm 01.05.2013 1 as is where is immediately
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
109545 Applied Materials Centura AP DPS II Advantedge Polysilicon Etcher with 4 chambers 300 mm 01.06.2006 1 as is where is immediately
110825 Applied Materials 0190-17944 Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110827 Applied Materials 0100-00845 laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra Spares 5 inquire
94444 Applied Materials ENDURA CL Chamber only AxZ 300 mm 31.05.2017 1 as is where is
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
106221 Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 2 as is where is immediately
109549 Applied Materials Centura DPS2 AE Minos Poly Dry Etch with 2CH DPS2 and 2 CH Axiom 300 mm 01.06.2006 1 as is where is immediately
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
106222 Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 1 as is where is immediately
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
106224 Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 Spares 01.06.1999 3 as is where is immediately
110832 Applied Materials 0100-77017 Lower Pneumatic Board - CMP Polisher and Lower Mirra Spares 5 inquire
106225 Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 Spares 01.06.1999 1 as is where is immediately
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110835 Applied Materials MFC-8005-T2105-072-N-001 Chemical Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110836 Applied Materials MFC-8000-T2104-052-P-001 Slurry Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110837 Applied Materials UPM2-646NC Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra Spares 5 inquire
110838 Applied Materials UPM3-644 Furon Valve UPM3-644 - CMP Polisher and Lower Mirra Spares 5 inquire
108023 Applied Materials P5000 CVD 200 mm 01.11.1990 1 as is where is
110839 Applied Materials UPM2-644NC Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra Spares 5 inquire
110841 Applied Materials 0140-08725 P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
111354 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 1 as is where is immediately
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
111355 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 01.06.2003 1 as is where is immediately
71932 Applied Materials 0020-20919 REV C COVER CVD POST spares 31.05.1999 1 as is where is immediately
110844 Applied Materials 0190-77482 Head Sweep Motor assy - CMP HEAD Spares 5 inquire
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT spares 31.05.1999 1 as is where is immediately
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110846 Applied Materials 3870-02674 Burkert Valve - CMP HEAD Spares 5 inquire
110847 Applied Materials IT1001-N31-X6 SMC UPA Regulator (X6) - CMP HEAD Spares 5 inquire
110617 Applied Materials ® 0190-33289 RTP CHAMBER LAMP spares 183 as is where is immediately
105851 Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises Spares 30.04.1995 1 as is where is immediately
105852 Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual Spares 30.06.1994 1 as is where is immediately
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
105858 Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom 200 mm 31.05.1997 1 as is where is immediately
6536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 Spares 01.07.1994 1 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
83894 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
32217 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
82176 Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE) 1 as is where is immediately
82227 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER Spares 01.06.2011 2 as is where is immediately
83556 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM Spares 1 as is where is immediately
83557 Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts 1 as is where is immediately
83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts SPARES 1 as is where is immediately
109942 Astec 9N24-32-372-FQ-3 Power Supply SPARES 1 inquire
80315 Astec VS3-D8-D8-02 Power Supply 2000 watts 2 as is where is immediately
81873 Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts Spares 1 as is where is immediately
81875 Astec VS3-D4-B4-22 (-447-ce) Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W Spares 01.06.1999 4 as is where is immediately
81876 Astec VS1-L5-02 (-452-ce) Power Supply 1 as is where is immediately
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply Spares 31.05.1994 2 as is where is immediately
109366 ASTECH ATL-100RA RF GENERATOR Spares 4 as is where is
109367 ASTECH ATL-100RA RF GENERATOR Spares 1 as is where is
109368 ASTECH ATL-100RA-03 RF GENERATOR Spares 4 as is where is
109943 Astech ATL-100RA Match SPARES 1 inquire
109944 Astech ATL-100RA Match SPARES 1 inquire
109945 Astech ATL-100RA Match SPARES 1 inquire
109946 Astech ATL-100RA Match SPARES 1 inquire
109947 Astech ATL-100RA/DT2L Match SPARES 1 inquire
109369 ASTEX ARX-X491 RF GENERATOR Spares 6 as is where is
109948 Astex AX2107 Generator SPARES 1 inquire
108728 ASYMTEK Millenium 620 Glue Dispense Tool 1 as is where is
84765 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
106803 Asyst Versaport 2200 with shuttle and back plate SMIF loader 200mm 6 as is where is immediately
102551 Asyst e-charger N2 charge 200mm 2 as is where is immediately
95404 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
91571 ASYST SPARTAN EFEM Wafer Sorter 300 mm 01.05.2010 1 as is where is immediately
101816 Asyst Isoport Wafer load port 300 mm 20 as is where is immediately
101817 Asyst Versaport pod opener 2200 VPO SMIF loader 200 mm 15 as is where is immediately
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
108153 ATI OAK-1 Auto Scope Inspection 01.06.2013 1 as is where is
98447 August 3DI-8000 wafer bump inspection 300 mm 1 as is where is immediately
98448 August NSX-95 2D Auto inspection system / Macro defect inspection system 200 mm 4 as is where is immediately
101818 August NSX-105 Wafer Bumping Inspection System / Macro defect inspection 200 mm 1 as is where is immediately
100347 Autec ATC-70B-RS Thermal Shock Tester 1 as is where is immediately
109949 Baxter Sealing Head Sealing SPARES 1 inquire
109950 Baxter Sealing Head Sealing SPARES 1 inquire
109951 Baxter Sealing Head Sealing SPARES 1 inquire
109952 Baxter Sealing Head Sealing SPARES 1 inquire
111381 Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China L42500-4/ZM (SPARES) Quartz boat for Two Tube horizontal PECVD 156mm 01.06.2010 2 as is fob immediately
77169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
108803 BOONTON 72B Capacitance Meter SPARES 1 inquire
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 2 as is where is immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
108740 BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished spares 1 as is where is immediately
108042 Brooks etc Spare Parts Various Robots, RF generators and Rf Matches for sale Spares 1 as is where is immediately
108702 BTU PV614 Fast Firing Furnace Solar 01.06.2013 1 as is where is immediately
109579 Cascade Microtech Summit 11000M Prober 200 mm 1 as is where is immediately
110700 Cascade Microtech 12000B-HS Prober 150 mm 1 as is where is immediately
83551 Celerity UFC-1660 MFC C2F6 5SLPM 01.08.2009 1 as is where is immediately
109967 Celtec CD1-12 Power Supply SPARES 1 inquire
109968 Celtec CD1-12 Power Supply SPARES 1 inquire
109969 Celtec CK180-6 Generator SPARES 1 inquire
109970 Celtec CK180-6 Generator SPARES 1 inquire
109971 Celtec CM13 Generator SPARES 1 inquire
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm 01.05.2003 1 as is where is immediately
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
77190 Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new 156mm 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
98725 Centrotherm Loader Furnace Loader Solar 31.05.2008 1 as is where is immediately
21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE FACILITIES 1 as is where is immediately
109386 COMET 101187 RF GENERATOR Spares 1 as is where is
109387 COMET 101187 RF GENERATOR Spares 4 as is where is
109388 COMET 101187 RF GENERATOR Spares 1 as is where is
109389 COMET 300159 RF GENERATOR Spares 1 as is where is
110670 Complete G5.5 Flat Panel Display Production line 1300mm x 1500mm 01.06.2004 1021 as is where is immediately
110671 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2006 920 as is where is immediately
110672 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2005 1 as is where is immediately
53025 COMPUMOTOR M57-51 Lead screw spares 1 as is where is immediately
108741 Contamination Control Desiccator boxes, 10ea Available Facilities 10 as is where is immediately
108742 CR TECHNOLOGY UF160-0 Xray System 1 as is where is
109533 Creative Design Engineering (CDE) ResMap 178 Resistivity Mapping System Up to 200 mm 01.06.2012 1 as is where is immediately
109081 CTI Cryogenics 9600 CRYOGENIC COMPRESSOR Facilities 01.06.2015 1 as is where is immediately
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
94583 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is
94584 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94585 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94586 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94587 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94588 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is immediately
94589 CTI Cryogenics 8116036 G 002 Pump On Board PUMP 1 as is where is
94590 CTI Cryogenics 80182 G 001 Torr Pump PUMP 1 as is where is
110749 CTI Cryogenics 8033168 High torr vacuum pump PUMP 1 as is where is immediately
69855 CTI Cryogenics Cryotorr 100 Cryopump pump 31.05.1997 1 as is where is immediately
4259 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4260 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
4265 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4266 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
106521 Custom Polypropylene 3 ft Bench 3ft Develop Hood Positive Resist Batch 150 mm/200 mm 1 as is where is immediately
106523 Custom S-Cubed Spin Coat Bake System Clamshell 1 as is where is immediately
109044 CVD Equipment Corporation Easy Tube 3000 Growth Equipment 06.01.2009 2 as is where is
106647 CYBER OPTICS CYBERSCAN C212/110 Laser Measure 200 mm 1 as is where is
91136 Daitron CVP-320 Wafer Edge Grinder 300 mm 01.11.2008 1 as is where is immediately
110720 Datacon 2200 apm MultiChip Die Bonder 300 MM 01.06.2007 1 as is where is immediately
106482 Datacon CS1250 Die Pick and Sort to tape reel 150 mm/200 mm/300 MM 1 as is where is immediately
80176 Dayton 4C446 Blower motor 115v 01.01.2012 1 as is where is immediately
109032 DDM Novastar 1800HT Reflow Oven SMT 1 as is where is immediately
110675 Dektak 3ST Stylus Profileometer 150 mm 1 as is all rebuilt immediately
77204 Delta ?? Impulse Driver Board, 5000V, 3A, for PECVD 01.01.2009 1 as is where is immediately
106450 Delta 4CJ Photoresist Coater 150 mm/200 mm 01.02.2005 1 as is where is immediately
106451 Delta 5AQ Positive Photoresist Developer 200 mm 01.06.2005 1 as is where is immediately
106456 Delta Custom DI Wafer Cleaner 150 mm/200 mm 01.06.2005 1 as is where is immediately
106458 Delta CUSTOM DI Wafer Cleaner 150 mm/200 mm 1 as is where is immediately
108747 DELTRONIC DH14-RR Profile Projector with 20X Objective Lens 1 as is where is
110594 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
110595 Delvotec 6200 Gold Ball Wire Bonder Assembly 01.06.2007 1 inquire immediately
111398 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
108811 DENTON DV-502A Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun 1 inquire
77186 Despatch KK33UH-009-00-4,MC Thermocouple sensor probe spares 1 as is where is immediately
77187 Despatch 164700 Thermocouple Spares 1 as is where is immediately
108995 Despatch CDF 7210 (SPARES) 55 cm length of furnace belt spares 1 as is where is immediately
76954 Dimetric JGT-10F Relay 380V 80A spares 4 as is where is immediately
83876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 30.09.2007 2 as is where is immediately
110071 Drytech 13.56Mhz Power Source Generator SPARES 1 inquire
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven Reliability 1 as is where is immediately
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80031 EDA Industries PCBA 05431 DRIVER module for BIB oven Reliability 6 as is where is immediately
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD Reliability 1 as is where is immediately
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven Reliability 1 as is where is immediately
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability 256 as is where is immediately
108041 EDWARDS. ETC. Vacuum Pumps Various Vacuum Pumps, Tubo Pumps, Controllers for sale Spares 1 as is where is immediately
79521 Efector 500 Pressure sensor switch, programmable 01.01.2001 1 as is where is immediately
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 15V3.4A 3 as is where is immediately
83639 ELCO CO. LTD K SERIES POWER SUPPLY K100 A-12 0 as is where is immediately
78132 Electroglas Horizon 4085X Fully Automatic Prober with Optem microscope and an inker 125 mm, 150 mm and 200 mm 01.01.1998 1 as is where is immediately
91591 ELECTROGLAS EG5300 Prober 300 mm 1 as is where is
91592 ELECTROGLAS EG5/300 A Prober 300 mm 31.12.2004 1 as is where is immediately
91593 ELECTROGLAS EG5300 Prober 300 mm 1 as is where is
110072 Electrotech LF A48257 Match SPARES 1 inquire
110073 Electrotech LF A48257 Match SPARES 1 inquire
110074 Electrotech LF A48257 Match SPARES 1 inquire
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
108957 Entegris Box 2" Wafer Transportation Box 2" SPARES 50 MM 330 as is where is immediately
108958 Entegris A72-40MB-0215 Teflon Carrier 4" SPARES 100 MM 57 as is where is immediately
108959 Entegris PH9100 Wafer Transportation Box 4" SPARES 100 MM 29 as is where is immediately
106467 EO Technic CSM-2000 CHIP SCALE LASER MARKER 150 mm/200 mm 01.06.2002 1 as is where is immediately
108064 EO Technics EO 9702 Laser marking 1 as is where is
108641 EO TECHNICS CSM 2000 Chip scale laser wafer marker 150 mm/200 mm 01.06.2006 1 as is where is immediately
98462 EO TECHNICS CSM 3000 Chip Scale laser marker 200 mm/300 mm 01.06.2005 1 as is where is immediately
106469 EO Technics CSM2000 CHIP SCALE LASER MARKER 150 mm/200 mm 1 as is where is immediately
106470 EO TECHNICS CSM2000 CHIP SCALE LASER MARKER 200 mm 01.06.2005 1 as is where is immediately
106655 EO TECHNOLOGY FOR GLASS PANEL Laser Marker for Glass 200 mm 01.06.2006 1 as is where is
106899 ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830 spares 01.09.2007 1 as is where is 2 weeks
110756 ESI ELECTRO SCIENTIFIC INDUSTRIES 9825 Laser Repair System 300 mm and 200 mm 01.10.2003 10 as is where is immediately
79520 Eurotherm 2408f Temperature controller 01.01.2001 3 as is where is immediately
109175 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm 1 as is where is
109176 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm 1 as is where is
108412 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 5 as is where is
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
108748 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm 1 as is where is immediately
80169 Festo 150857 SME-8-S-LED-24 Proximity switch 01.12.2012 1 as is where is immediately
106894 FINETECH GmbH Fineplacer 96 Manual FlipChip Bonder 1 as is where is immediately
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER Spares 01.02.1996 2 as is where is immediately
83549 Fujitsu Denso FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER Spares 01.12.1995 1 as is where is immediately
109178 Future Fab Future Fab Solvent Hood Fume Hood Workstation 200mm 1 as is where is
83903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE Spares 2 as is where is immediately
96543 Gaertner L115C-8 Ellipsometer, cassette to cassette 100-200 mm 28.02.1995 1 as is where is immediately
108751 GCA TROPEL 9000 Wafer Flatness Analyzer 1 as is where is
110318 General Electric Dummy Load Miscellaneous SPARES 1 inquire
110782 Giant Force GPO-270-B Burn-In Oven Reliability 01.09.2022 1 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
76735 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76736 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76737 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76738 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76739 GL Automation IDSCOPE Wafer bar code reader 200 mm 31.08.2004 1 as is where is immediately
110319 Glassman High Voltage, Inc PS/FL1.5F1.0 Generator SPARES 1 inquire
79892 Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP Electronics Test and Measurement 1 as is where is immediately
111367 HAMAMATSU L8488-01 LC5 Lightning Cure 1 as is where is immediately
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages 01.09.2001 1 as is where is immediately
84413 HAMPTON CYCLETROL 240 CIRCUIT BREAKER 1 as is where is immediately
111376 Hewlett Packard HP3455A Digital Voltometer 1 as is where is immediately
111377 Hewlett Packard HP54542A Oscilloscope 1 as is where is immediately
109568 Hitachi CG-4100 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2012 1 as is where is immediately
109569 Hitachi CG-4000 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2010 1 as is where is immediately
111363 Hitachi S-4800 CD SEM 1 as is where is immediately
91397 HITACHI IS2700SE Dark Field inspection 1 as is where is
86278 Hitachi 6280H CONTROL RACK CD SEM (PARTS) Spares 01.05.1995 1 as is where is immediately
108040 Hitachi Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
109578 HITACHI CM-700H WIRE BONDER ASSEMBLY 01.05.2007 20 inquire immediately
91403 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
60939 HITACHI S4160 Scanning electron microscope 31.05.1996 1 as is where is
91404 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91405 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91407 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91408 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
108568 HITACHI LS9000 Wafer Surface Inspection System 300mm 01.06.2010 1 as is where is immediately
91417 HITACHI LS-6800 wafer surface inspection 300 mm 31.08.2007 1 as is where is immediately
111385 Hitachi 566-5504 CPU PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
91418 HITACHI UA-7200 Stripper/Asher 31.05.2001 1 as is where is
111386 Hitachi 565-5506 MAG. ADJ. PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111387 Hitachi 545-5505 RS232C PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111388 Hitachi 566-5346 PANEL I/F PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111389 Hitachi 566-5513 C/G RAM PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111390 Hitachi 545-5591 CRTC (T) PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111391 Hitachi 545-5596 V-AMT (T) PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111392 Hitachi 545-5598 NV-MEM PCB for Hitachi S6280H CD-SEM spares 01.05.1994 2 as is where is immediately
111393 Hitachi 545-5507 SCAN CONTROL PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111394 Hitachi 566-5510 HV LENS PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
108068 Hitachi HL7000M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108069 Hitachi HL7500M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108070 Hitachi HL7800M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108071 Hitachi HL8000M E-Beam Litho (6 inch mask) RETICLE 1 as is where is immediately
108072 Hitachi S-6280H CD SEM 1 as is where is
74794 Hitachi S5200 FE SEM with EDX Inspection 31.05.2005 1 as is where is immediately
53054 HITACHI 6280H (SPARES) SORD Computer for cd sem system spares 1 as is where is immediately
52301 Hitachi 545-5516 7 Channel Power Supply module spares 0 as is where is immediately
52312 Hitachi 545-5522 VG board for CD SEM spares 31.05.1994 1 as is where is immediately
108896 Hitachi RS4000 Defect Review SEM 300 mm 01.06.2006 1 as is where is immediately
103525 HITACHI S4700-l Scanning Electron Microscope Laboratory 31.05.2004 1 as is where is immediately
103526 HITACHI S4700-ll FE Sem with Horriba EMAX EDX Laboratory 31.05.2001 1 as is where is immediately
108902 Hitachi RS4000 Defect Review SEM 300 mm 01.05.2007 1 as is where is immediately
103527 HITACHI S4700-ll FE Sem with Horriba EMAX EDX (Destocking Status) Laboratory 31.05.2003 1 as is where is immediately
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM spares 31.05.1994 1 as is where is immediately
52340 Hitachi 545-5537 IP-PC2 for cd-sem spares 31.05.1994 1 as is where is immediately
52343 Hitachi 377-7592 Power Supply Module for CD SEM spares 31.05.1994 1 as is where is immediately
108413 Hitachi CG4000 SEM 300 mm 3 as is where is
108159 HITACHI S4700II FE SEM with EDAX (Detecting Unit) 1 as is where is
109183 Hitachi CG4000 SEM - Critical Dimension (CD) Measurement 300mm 1 as is where is
108160 HITACHI CV4000 High Voltage SEM 300 mm 01.06.2014 1 as is where is
83849 Hitachi S4500 Type I FE SEM Laboratory 01.05.1995 1 inquire immediately
36518 HITACHI S-5000 1 inquire
106662 HITACHI FB2100 FIB 200 mm 01.06.2003 1 as is where is
106663 HITACHI IS3000SE WAFER PARTICLE INSPECTION 300 mm 01.06.2006 1 as is where is
106664 HITACHI IS3200SE WAFER PARTICLE INSPECTION 300 mm 01.06.2010 1 as is where is
106665 HITACHI LS9000 Wafer Surface Inspection 300 mm 01.06.2010 1 as is where is
106666 HITACHI LS-6800 Wafer Surface Inspection 300 mm 01.06.2007 1 as is where is
102060 Hitachi 6280H CD SEM Control Rack including qty 12 PC Boards in the rack Spares 12 as is where is immediately
110765 Hitachi S-8840 CD SEM 1 as is all rebuilt
110766 Hitachi S-9260A SEM 1 as is where is immediately
106160 HITACHI RS6000 (Enhanced) Defect Review SEM 300 mm 01.06.2013 1 as is where is immediately
110781 Hitachi S-7840 High Resolution Imaging and CD-SEM 150 mm to 200 mm 01.09.2000 1 inquire immediately
52166 Hitachi 545-5515 DC power supply module for CD SEM spares 1 as is where is immediately
52167 Hitachi 6280H Power Supply Module 4channels spares 1 as is where is immediately
52168 Hitachi 545-5540 Power Supply unit for CD SEM Spares 1 as is where is immediately
108752 HITACHI S7000 CD SEM 150 mm 01.05.1989 1 as is where is immediately
98269 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
98270 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
109287 Hitachi SU 8010 Ultra High Resolution Field Emission Scanning Electron Microscope 100 mm 01.06.2007 1 as is where is immediately
18925 HITACHI 131-9008-1 Model U-3210 / U 3410recording spectrophotometer available commands 1 as is where is
18926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure 1 as is where is
109555 Hitachi IS3000 DARK FIELD INSPECTION 300 mm 01.05.2007 1 as is where is
109556 Hitachi HD2300 STEM (Scanning Transmission Electron Microscope) Laboratory 01.06.2006 1 as is where is immediately
109561 Hitachi S5500 HIGH RESOLUTION INSPECTION SEM Laboratory 01.06.2014 1 as is where is immediately
108027 HITACHI N-6000 NANO PROBER Laboratory 01.09.2007 1 as is where is
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
108753 HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz Electronics Test and Measurement 1 as is where is immediately
83579 HP HEWLETT PACKARD 9145A PC HP HEWLETT PACHARD 9145 115/230V 28.02.1990 1 as is where is immediately
77156 HTC ISO1010OCRVSA Pump flange PN 192112412 Spares 1 as is where is immediately
110840 HTC Solar Set of spare parts spares 656 as is where is immediately
107687 Huttinger PFG 300 RF Generator Spares 1 as is where is immediately
110322 Huttinger PFG 300 Generator SPARES 1 inquire
110323 Huttinger PFM 1500 A Match SPARES 1 inquire
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83618 IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83619 IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL 30.11.2003 1 as is where is immediately
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING 30.11.2003 1 as is where is immediately
84222 IKO NIPPON THOMPSON LWL 12 C2 LINEAR BEARING AND RAIL Spares 01.11.2008 1 as is where is immediately
86677 InTest Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head Spares 31.05.2007 1 as is where is immediately
111365 INVALID[MPI Thermal ] ThermalAir TA5000A Temperature Forcing System 1 as is where is immediately
106504 Irvine Optical Auto Wafer Loader Microscope Inspection WAFER INSPECTION MICROSCOPE WITH AUTOLOADER 200 mm 01.06.1990 1 as is where is immediately
108754 IWASHITA Shotmatic 3 Epoxy Dispenser, 2ea Available 1 inquire
108163 IWATANI LNS-1 Liquid Nitrogen Supplier 1 as is where is
108415 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300 mm 1 as is where is
84552 JSC Systems 510 Controller spares 1 as is where is immediately
109042 JST CLV IPA Wafer Dryer 200 mm 01.12.2005 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 01.05.2010 1 as is where is immediately
106897 Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing -suitable for spare use 200 mm 1 as is where is immediately
106915 Karl Suss Micro Tec PA-200 Wafer Prober Station 01.06.2001 1 as is where is immediately
106916 Karl Suss Micro Tec PA200 Wafer Prober Station 01.06.2006 1 as is where is immediately
106818 Kensington WFH4C wafer robot with aligner 150/200mm 1 as is where is immediately
106819 Kensington WFH4D wafer robot with aligner 150/200mm 1 as is where is immediately
109189 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester TEST 1 as is where is
109190 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester TEST 1 as is where is
108836 KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" SOLAR 1 inquire
108759 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 1 as is where is
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
95117 KLA - Tencor 259 Image Digitizer Assembly SPARES 2 as is where is immediately
111395 KLA -TENCOR P-22H Step Height Measurement Tool (Refurbished) 1 inquire
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" SPARES 01.09.1995 1 immediately
34126 KLA -Tencor 7700m (Spares) Mirror Curved SPARES 1 as is where is immediately
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 SPARES 1 as is where is immediately
83562 KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan Spares 1 as is where is immediately
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM SPARES 01.06.1998 1 as is where is immediately
108075 KLA Tencor 2131 Wafer Defect Inspection 150 mm 1 as is where is
106574 KLA TENCOR SEM-3800C Scanning Electron Microscope N/A 1 as is where is
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT D1,D2,D3 01.09.1998 1 as is where is immediately
31612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3 as is where is
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
108165 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1991 1 as is where is
108166 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1990 1 as is where is
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES 01.02.1996 1 inquire immediately
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY SPARES 01.02.1996 1 inquire immediately
106674 KLA TENCOR Viper 2430 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is immediately
106675 KLA TENCOR Viper 2435 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106676 KLA TENCOR Viper 2435XP Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106677 KLA TENCOR CI T1X0 package inspection system 300 mm 1 as is where is
106678 KLA TENCOR CI T1X0 package inspection system 300 mm 01.06.2006 1 as is where is
83895 KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB SPARES 31.05.1992 1 as is where is immediately
106679 KLA TENCOR 2132 (mainbody only) Wafer Inspection System 150 mm,200 mm 01.06.1995 1 as is where is
106681 KLA TENCOR P-2 Profileometer 150 mm,200 mm 01.06.1996 1 as is where is
83898 KLA TENCOR 7700M (Spares) Optical sub-assembly SPARES 1 as is where is immediately
83899 KLA TENCOR 7700M (Spares) Lens assembly SPARES 2 as is where is immediately
83900 KLA TENCOR 7700 Mechanical part SPARES 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32231 KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
106481 KLA Tencor AIT I Patterned Surface Inspection System 150 mm/200 mm 01.06.1995 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
34116 Kla-Tencor AIT 1 Network Card PCB SPARES 1 as is where is immediately
84411 KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700 SPARES 31.05.1995 1 as is where is immediately
109059 KLA-Tencor 289825A Sony XC-711 Video Camera and cable set SPARES 1 as is where is immediately
109598 KLA-Tencor Surfscan 5500 Wafer Particle Detection 200 mm 1 inquire
103206 KLA-Tencor 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System Spares 31.05.1995 1 as is where is immediately
91435 KLA-Tencor AIT Particle Review 200 mm 31.05.1997 1 as is where is
53035 KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8" spares 1 as is where is immediately
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive spares 1 as is where is immediately
109613 KLA-Tencor AIT Surfscan wafer particle detection system 150 mm 1 inquire
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
91464 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 200 mm 1 as is where is immediately
91466 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 1 as is where is
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat spares 1 as is where is immediately
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler spares 1 as is where is immediately
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control SPARES 1 as is where is immediately
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM Spares 2 as is where is immediately
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical spares 1 as is where is immediately
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB SPARES 1 as is where is immediately
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
83810 KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope spares 31.05.1997 1 as is where is immediately
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares 1 as is where is immediately
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 spares 1 as is where is immediately
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS spares 1 as is where is immediately
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES 1 as is where is immediately
34165 KLA-TENCOR 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans Spares 1 as is where is immediately
84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 01.06.2000 14 as is where is immediately
34166 KLA-TENCOR AIT 1 (SPARES) Lens Assembly in transport box SPARES 1 as is where is immediately
84087 KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan Spares 1 as is where is immediately
84088 KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan Spares 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers Spares 31.05.1995 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
83837 KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M SPARES 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
31613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 spares 5 as is where is immediately
110725 KLA-Tencor ARCHER 5 Optical Overlay measurement system 150-200 mm 01.06.2001 1 as is where is immediately
110726 KLA-Tencor OmniMap AutoRS75TC Wafer Resistivity Measurement 150-200 mm 01.06.1996 1 as is where is immediately
109191 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
110727 KLA-Tencor UV1080 Thin film measurement system 150-200 mm 01.06.2000 1 as is where is immediately
109192 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
109193 KLA-Tencor 2830 Brightfield Inspection 300mm 1 as is where is
105866 KLA-Tencor Surfscan 7700m Keyboard Assy 1 as is where is immediately
109194 KLA-Tencor AIT UV Darkfield Inspection 300mm 1 as is where is
109195 KLA-Tencor ASET-F5x Film Thickness Measurement System 300mm 1 as is where is
109196 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
109197 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
27790 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system 1 as is where is immediately
109198 KLA-Tencor Surfscan SP2 Wafer Particle Measurement System 300 mm 01.12.2010 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS up to 7 inch 01.12.1991 1 inquire immediately
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 Spares 2 as is where is immediately
21667 KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN SPARES 31.01.1998 1 inquire immediately
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 0,4-0,7 MPa 31.05.1998 3 as is where is immediately
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM SWAGELOK 152086 01.06.2000 1 as is where is immediately
18598 KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015 150 mm 01.12.1990 1 as is where is immediately
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM spares 01.06.2000 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM spares 01.12.2000 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier spares 01.03.2007 1 as is where is immediately
83896 KLA-TENCOR Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan SPARES 1 as is where is immediately
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
83897 KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M SPARES 01.08.1995 1 as is where is immediately
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
84410 KLA-TENCOR 7700M SENSOR OPTICAL SPARES 1 as is where is immediately
83643 KLA-Tencor RIBBON CABLE SPARES 2 as is where is immediately
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83645 KLA-Tencor 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system Spares 01.06.1992 1 as is where is immediately
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
83902 KLA-Tencor 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY Spares 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
103366 KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M Spares 31.05.1993 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18634 KLA-Tencor POWER SUPPLY LAMBDA Rev. A 01.06.1992 1 as is where is
18635 KLA-Tencor 851391-101 LH RESEARCH REV.B 01.06.1992 1 as is where is
83918 KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan SPARES 1 as is where is immediately
71632 KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System 200 mm 01.04.1996 1 as is where is immediately
108760 KLA-Tencor Surfscan 4500 Wafer Particle Inspection System 2 to 6 inch 01.05.1986 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
83932 KLA-TENCOR 195430 rev B Detector Assembly for a KLA 7700M Surfscan SPARES 30.11.1985 1 as is where is immediately
96998 KLA-Tencor Surfscan AIT Patterned Wafer Inspection 200 mm 01.05.1997 1 as is where is immediately
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 SPARES 01.12.1989 1 as is where is immediately
84215 KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M Spares 01.05.1993 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
84220 KLA-TENCOR 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series Spares 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
103386 KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00 Spares 30.04.2001 2 as is where is immediately
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 as is where is immediately
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
106895 KTC BT-30 Die and ball shear tester 1 as is where is
84228 Kurt J Lesker ISO160AVCRT Pump centering ring Spares 2 as is where is immediately
84229 Kurt J Lesker ISO100AVCRT Pump centering ring Spares 2 as is where is immediately
84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange Spares 2 as is where is immediately
84282 Kurt J Lesker QF-SSC-ALM Single claw clamp Spares 8 as is where is immediately
84212 Kurt J Lesker QF160-SAVR Pump centering ring Spares 2 as is where is immediately
110325 Kurt J. Lesker AT3 Match SPARES 1 inquire
111364 LAM RESEARCH /ONTRACK DSS 200 Scrubber Series 1 1 as is where is immediately
106820 Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment 200 mm 1 as is where is immediately
94475 LASERTEC BGM300 Wafer Surface Analyzing and VIsualization System 200 mm 31.05.2008 1 as is where is
106702 LASERTEC BGM300 Wafer Inspection System 300 mm 1 as is where is
108762 LEATHERWOOD LPD333.FR4.FT Semi-Auto Automated 6' Acid Wet Bench, for up to 6" Wafers, Excellent Condition 150 mm 1 as is where is 1 month
83570 Leitz 512815 / 2 Microscope for KLA 51xx with olympus eyepieces 512 815/20 31.05.1995 1 as is where is immediately
109017 LEITZ ERGOLUX AMC -LIS Inspection microscopes 1
106486 Lintec RAD-2000F / 8 LINTEC UV CURE TOOL 150 mm/200 mm 01.07.2018 1 as is where is immediately
106487 Lintec RAD-2500 Lintec Wafter Mounter RAD2500 150 mm/200 mm 1 as is where is immediately
106488 Lintec RAD-2500M/8 Wafer mounter 150 mm/200 mm 01.06.2001 1 as is where is immediately
107008 Long Hill Ind. Ltd. LH 836 Automated Wafer taper 150 mm 01.03.2004 1 as is where is immediately
98730 LOTUS Spray Cleaner WET Clean for parts Facilities 01.10.2007 1 as is where is immediately
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108845 MACTRONIX UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers 200 MM 1 inquire
108764 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 150 mm 1 as is where is
94599 MagneTek DS 316 GPD 503 SPARES 1 as is where is
84026 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
84072 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
83885 MATHESON TRI.GAS ROTAMETER 3 as is where is immediately
18835 MATRIX 0995-99844 System one etcher model 403 installations and operations manual 2 as is where is
18836 MATRIX 0995-99842 system one etcher model 303 installations and operations manual 1 as is where is
18837 MATRIX 0995-99844 Installation and operations manual 1 as is where is
18838 MATRIX 0995-99829 Oem user's manual 1 as is where is
83882 MATSUSHITA BBC 35 N INTERRUPTOR CAP SYS 01.05.2010 1 as is where is immediately
83637 MATSUSHITA HP2-DC 24V RELAY HP 20 as is where is immediately
108578 MATTSON PARADIGM_SI DRY ETCH 300mm 01.06.2012 1 as is where is immediately
108579 MATTSON PARADIGM_SI Poly-silicon etcher 300 mm 01.05.2011 1 as is where is immediately
108910 Mattson AST3000 RTP 200 mm 01.09.2002 1 as is where is immediately
103539 MATTSON HELIOS RTP 300 mm 31.10.2003 1 as is where is immediately
103540 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
109447 MATTSON RFS3019 RF GENERATOR Spares 1 as is where is
108184 MATTSON MILLIOS Rapid Thermal Anneal 300 mm 01.06.2011 1 as is where is
18845 MATTSON 17500675-00 Aspen OEM manual volume I 2 as is where is
18846 MATTSON 17500675-00 Aspen OEM manual volume II 3 as is where is
18847 MATTSON 17500675-00 Aspen OEM manual volume III 3 as is where is
18848 MATTSON 299-31000-00 Aspen strip system manual 6 as is where is
98281 MATTSON HELIOS RTP 300 mm 31.01.2006 1 as is where is immediately
98282 MATTSON HELIOS RTP 300 mm 31.10.2006 1 as is where is immediately
98283 MATTSON PARADIGME SI Dry Strip 300 mm 31.05.2011 1 as is where is
98284 MATTSON PARADIGME SI Polysilicon Etch 300 mm 1 as is where is
109552 Mattson Aspen 3 ICP Dual Chamber Light Etcher 300 mm 01.07.2008 1 as is where is immediately
91641 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 150 mm 01.05.1995 1 inquire immediately
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
80244 MELLES GRIOT 05-LHP-121 HE NE Laser (Unused) Spares 01.12.1996 1 as is where is immediately
110789 Mentor Graphics Veloce 2 Quattro Hardware Emulation System 1 as is where is immediately
109214 Metryx Mentor OC23 Mass Measurement 200mm 1 as is where is
108464 Metryx Mentor DF3 Mass Measurement 300 mm 1 as is where is
108465 Metryx Mentor OC23 Mass Measurement 200-300 mm 1 as is where is immediately
108766 Micro Automation 2066 Mask and wafer cleaner 1 as is where is immediately
108846 MICROAUTOMATION M-1100 Wafer Dicing Saw, for up to 6" Wafers 150 MM 1 inquire
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 200 mm , 150 mm, 125 mm 01.05.2000 1 as is where is immediately
108580 Micromanipulator Probe Station 1 Manual Prober with hot and cold chuck 200 mm 1 as is where is immediately
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
108848 MINATO MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available 1 inquire
79592 Minato Electronics 1940 EPROM Programmer with additional memory Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79593 Minato Electronics 1940 EPROM Programmer Electronics Test and Measurement 01.05.2005 1 as is where is immediately
83812 MINERTIA MOTOR RM SERIES MOTOR ELECTRIC 30.09.1996 1 as is where is immediately
83890 MINERTIA MOTOR RM SERIES INDUCTION MOTOR 01.03.1997 1 as is where is immediately
83523 MITSUBISHI MR-J10A1 AC SERVO POWER 100 W 1
83554 MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS FREQROL-Z120 1 as is where is immediately
83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 100-120 V 31.03.2005 1 as is where is immediately
108289 Mitutoyo Quick Vision QVT1-X606P1L-D CNC coordinate measuring system ASSEMBLY 01.06.2016 1 as is where is
106085 MKS ASTeX Astron FI80131-R Remote Plasma Source Spares 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
108290 Multitest MT2168 Test handler with Lemsys tester for High Voltage and Hi Current testing TEST 01.06.2012 1 as is where is
108964 Multitest MT2168 test handler with hot, ambient and cryogenic cooling options TEST 01.06.2018 1 as is where is immediately
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
106705 NANO OPTICS HAZE 2 Nano Optics Haze 2 200 mm 1 as is where is
107027 NANOMETRICS Caliper Mosaic Overlay measurement System 300 mm 01.08.2010 1 inquire immediately
109113 Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system 300 mm 01.09.2008 1 as is where is immediately
106823 Nanometrics Nanospec 9100 Oxide film thickness measurement (PC missing) 200 mm 1 as is where is immediately
103544 NANOMETRICS CALIPER ELAN Overlay 300 mm 31.05.2004 1 as is where is
91526 NANOMETRICS Caliper Mosaic Overlay 300 mm 31.05.2003 1 as is where is immediately
91529 NANOMETRICS Caliper Mosaic Overlay 300 mm 28.02.2010 1 as is where is immediately
91530 NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection 200 mm 1 as is where is
108186 NANOMETRICS CALIPER_MOSAIC Overlay 300 mm 01.06.2001 1 as is where is
98480 Nanometrics 8000X film thickness measurement 150 mm 2 as is where is immediately
98481 Nanometrics 8000Xse film thickness measurement 200 mm 2 as is where is immediately
98482 Nanometrics Caliper Mosaic (Parts) EFEM Module, including a Brooks Razor robot 300 mm 1 as is where is immediately
98483 Nanometrics Lynx EFEM EFEM including a Kawasaki robot 300 mm 1 as is where is immediately
108467 Nanometrics Tevet Trajectory T3 Film Thickness Measurement System 300 mm 1 as is where is
106179 Nanometrics 9000 Nanospec Wafer Metrology film thickness measurement tool 200 mm 01.06.2005 1 as is all rebuilt immediately
106959 Nanometrics 9000 (Spare Parts) Spectroscopic Reflectometer Spares 01.06.2005 1 as is where is immediately
106960 Nanometrics 9000 (Spare Parts) Computer Nanometrics P/n 7200-2432 Spares 01.06.2005 1 as is where is immediately
101585 NANOMETRICS CALIPER-ULTRA Overlay Measurement 300 mm 30.06.2006 1 as is where is
106706 NANOMETRICS CALIPER_ULTRA Mask & Wafer Inspection 300 mm 01.06.2006 1 as is where is
98289 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 31.05.2005 1 as is where is
98290 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 31.05.2005 1 as is where is
98291 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 30.06.2006 1 as is where is immediately
69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR TEST 31.05.1998 8 as is where is immediately
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 01.03.1990 1 as is where is immediately
108852 NEUTRONIX/QUINTEL 7000 Mask Aligner, with IR Backside Alignment, for up to 6" Wafers 1 inquire
108031 NEXTEST MAGNUM II EV ICP Memory Tester TEST 01.11.2011 1 as is where is
99382 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
99383 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
109045 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2003 1 as is where is immediately
109046 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2004 1 as is where is immediately
108032 Nextest Systems Corporation MAGNUM II ICP 1280 Memory Tester TEST 01.04.2010 1 as is where is immediately
108030 Nextest Systems Corporation MAGNUM ICP 1280 Memory Tester TEST 01.05.2010 1 as is where is immediately
108853 NICOLET Avatar 370 DTGS FT-IR Spectrometer 1 inquire
108187 NICOLET Magna 410 ft-ir FTIR Spectrophotometer Laboratory 01.06.1996 1 as is where is
108188 NICOLET Magna 560 ft-ir FTIR Spectrophotometer Laboratory 01.06.1998 1 as is where is
108771 NICOLET Magna 550 FT-IR Spectrophotometer Laboratory 01.05.1993 1 as is all rebuilt immediately
83906 NITROGEN PRESSURE VALVE 30.11.1992 1 as is where is immediately
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 as is where is immediately
108099 Nitto N286M Wafer Mounter 0 as is where is
110688 NITTO DR8500 II Wafer Taper 200 mm 01.06.1996 1 as is where is immediately
110689 NITTO HR8500 II Wafer De-Taper 200 mm 01.06.1996 1 as is where is immediately
110730 Nitto DR-8500-II Wafer taper 150-200 mm 01.02.2001 1 as is where is immediately
110731 Nitto HR-9300 Wafer De-taper 200-300 mm 01.02.2015 1 as is where is immediately
110732 Nitto HR-8500-II Wafer de-laminator 150-200 mm 01.07.2000 1 as is where is immediately
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 spares 1 as is where is immediately
98486 Nitto MA 3000 II Wafer tape mounter and demounter 200 mm/300 mm 01.03.2007 1 as is where is immediately
32210 Nitto HR8500-2 INSTRUCTION MANUAL MANUAL 1 as is where is immediately
32212 Nitto DR8500-2 INSTRUCTION MANUAL MANUAL 2 as is where is
32214 Nitto 44941001 TAPE SPOOL PARTS 2 as is where is immediately
32216 Nitto 1603502 TAPE SPOOL PARTS 1 as is where is
111374 NP Test NPR-505L Power Plasma Reactor 1 as is where is immediately
111375 NP Test NPR-507L Power Plasma Reactor 1 as is where is immediately
83552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW W1201-112P 28.02.2005 4 as is where is immediately
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A Spares 14 as is where is immediately
103385 Nuclear Elettronica 314E.100 REV A Power Supply, 5V/20A Spares 10 as is where is immediately
103387 Nuclear Elettronica 312E.44L REV A Dual Power Supply, +/- 15V / 1A Spares 4 as is where is immediately
83567 Numatics 225-272B Solenoid Valve 01.04.2009 1 as is where is
74178 Numatics 12DSA4 OA00030 Solenoid Valve 120V 01.04.2009 1 as is where is
74179 Numatics 227-833B valve 3 as is where is
74180 Numatics L22L-03 FILTER PNEUMATIC 01.01.2011 1 as is where is
80247 Olympus Optical DBAP-FA-Z GA Servo Driver 1 as is where is immediately
108858 ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank FACILITIES 1 inquire
103547 ONTO WV320 MACRO INSPECTING 300 mm 31.05.2004 1 as is where is
109229 ONTO AutoEL III Ellipsometer 200mm 1 as is where is
109230 ONTO Axi 935 Macro-Defect 300mm 1 as is where is
109231 ONTO MetaPULSE 300 Film Thickness Measurement System 300mm 1 as is where is
109232 ONTO MetaPULSE 300 Film Thickness Measurement System 300mm 1 as is where is
109233 ONTO NSX 105 Macro-Defect 200mm 1 as is where is
108294 Orbotech Ultra Discovery VM IC inspection system for FC-BGA, PBGA, CSP and COF SMT 01.06.2011 1 as is where is
13066 ORIENTAL MOTOR SEE COMMENTS GEAR HEADS 7 as is where is immediately
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83811 ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR 1 as is where is immediately
83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 as is where is immediately
83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1 as is where is immediately
83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR SPARES 1 as is where is immediately
13206 ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD SPARES 1 as is where is immediately
83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR SPARES 1 as is where is immediately
83893 ORIENTAL MOTOR 4GN30K GEAR HEAD 1 as is where is immediately
69817 Oriental Motor VEXTA PK564-NAC Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit Spares 01.06.1996 1 as is where is immediately
13014 Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ Spares 01.06.2000 1 as is where is
108859 ORTHODYNE 20B Heavy Wire Bonder ASSEMBLY 1 inquire
110358 Oxford Instruments OPT AMU Match SPARES 1 inquire
108192 PAC TECH SB2-SM SOLDER BALL BUMPER 200 mm 1 as is where is
84058 PATLITE SEFW-A SIGNAL TOWER 1 immediately
83587 Pittman GM9213E081 GEAR motor 19.1 vdc 65.5:1 RATIO Spares 1 as is where is immediately
91330 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
91331 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
108863 PLASMA-THERM 790 Reactive Ion Etcher, Refurbished - Call for Details 1 inquire
108864 PLASMA-THERM SLR770 Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details 1 as is all rebuilt immediately
109519 PLASMART PF05100-3B36S RF GENERATOR Spares 6 as is where is
109520 PLASMART PF05100-3B36S RF GENERATOR Spares 1 as is where is
109521 PLASMART PFDUAL-6B36A RF GENERATOR Spares 1 as is where is
106759 Plasmatherm SLR 770 Dual Chamber R.I.E. 200 mm 01.06.1994 1 as is where is immediately
109586 Plasmatherm SLR 720 RIE Etcher 150 mm 1 inquire
110613 PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch 200 mm 01.04.1999 1 as is where is immediately
109599 Plasmatherm 790 Reactive Ion Etcher 200 mm 1 inquire
106953 PLASMATHERM LAPECVD Large Area PECVD system, used for SiO and SiN process depositions 150 mm 01.06.2015 4 as is where is immediately
110733 POWATEC 850 Manual Wafer Mounter 01.06.2000 1 as is where is immediately
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.01.1994 1 as is where is immediately
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.05.1994 1 as is where is immediately
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.06.1994 1 as is where is immediately
91552 RAYTEX RXW-800 EDGE SCAN 200 mm 31.05.2008 1 as is where is
98489 Raytex RXW-0826SFIX-SMIF Wafer edge scanner 200 mm 1 as is where is immediately
108479 Raytex RXW-1227 EdgeScan Edge Defect 1 as is where is
84239 Raytheon ELC-14947 Directed light assembly, for ESI Spares 1 as is where is immediately
109119 Rectif Sort Batch Flip AHMS Sorter 300 mm 01.06.2010 14 as is where is immediately
110761 RECTIF G3 Wafer Sorter 300 mm 2 as is where is immediately
110792 RECTIF SRT300F01 Wafer Sorter with 4 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
110794 RECTIF SRT300F34 Wafer Sorter with 2 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
110359 RF Global Solutions RF Services Match controller Miscellaneous SPARES 1 inquire
81835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 as is where is immediately
56813 Roth & Rau SiNA (Spare Parts) Spare Parts from PECVD system for deposition of Silicon Nitride Solar 01.10.2010 1 as is where is immediately
108866 ROYCE INSTRUMENTS ASTM-2kg Die Shear Load Cell SPARES 1 inquire
108867 ROYCE INSTRUMENTS ASTM-50g Wire Pull Load Cell SPARES 1 inquire
108868 ROYCE INSTRUMENTS ASTM-200g Die Shear Load Cell SPARES 1 inquire
108869 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108870 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108871 ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell SPARES 1 inquire
108872 ROYCE INSTRUMENTS System 550 100K Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders SPARES 1 inquire
108873 ROYCE INSTRUMENTS Die Shear Workholder SPARES 1 inquire
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester SMT 01.05.2004 1 as is where is immediately
79889 Sanitas EG Multilevel EPROM Programmer Electronics Test and Measurement 1 as is where is immediately
107012 SEC Semiconductor Equipment Corp. 3100 Manual wafer taper 150 mm 01.07.2002 2 as is where is immediately
109560 SELA/Camtek EM3i Saw for TEM sample preparation ASSEMBLY 01.05.2011 1 as is where is
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
109585 Semitool Symphony 2300 Spray Acid Tool (1-chamber, 300mm) 300 mm 1 inquire
109587 Semitool Equinox Electroplating System 1 inquire
109591 Semitool Sirius HydrOzone wafer cleaning system 1 inquire
109592 Semitool SST 421 Spray Solvent Tool 200 mm 1 inquire
106527 Semitool SAT2081D2PCCU OEM SAT Spray Acid Etch Tool Clamshell 1 as is where is immediately
108874 SEMITOOL WST 406MG Wafer Spray Solvent Tool 1 inquire
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 as is where is immediately
84351 Semitool ST-240 Spin Rinse Dryer 1 as is where is immediately
84364 Semitool PA7230M SRD Rotor spares 1 as is where is immediately
84365 Semitool A72-20M SRD Rotor Spares 1 as is where is immediately
18839 SEMITOOL 2080S(OAZP)CBE Equipment manual 1 as is where is
108202 SEMITOOL EO212PM Cu PLTNG 01.06.1998 1 as is where is
108203 SEMITOOL ST 470 1 as is where is
108204 SEMITOOL WSST Water Soluble Strip Tool 01.06.1996 1 as is where is
108205 SEMITOOL WST305M Spin Dry 1 as is where is
108974 Semitool PSC 101 Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
106946 Semitool ST-921R-AA Spin Rinse Dryer 100 mm 2 as is where is immediately
108486 Semitool Scepter Solvent Batch Wafer Processing 1 as is where is
109285 Semitool Equinox Cu Cu Plating tool with 4 plating chambers and 5 preclean chambers 200 mm 01.06.1999 1 as is where is immediately
108696 SENTECH Senduro 300 Thin Film measurement Up to 300 MM 01.06.2008 1 inquire
110504 SET SET 1500 Match SPARES 1 inquire
110505 SET SET 1500 Match SPARES 1 inquire
110506 SET SET 1500 Match SPARES 1 inquire
111406 Shin-Etsu MW 200 Wafer Transportation Box 12" Spares 200 MM 12 as is where is immediately
108960 Shin-Etsu MW 200 Wafer Transportation Box 8" Spares 200 MM 50 as is where is immediately
93409 Shinwa Controls Co., Ltd. CH1-CP-D1 CH1-CP-D1 1 as is where is
76611 SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY laboratory 1 as is where is immediately
106741 SIGMAMELTEC SFG3000 Photomask 300 mm 01.06.2006 1 as is where is
108206 SJ SEMITECH Liquid Horning Liquid Horning 1 as is where is
109031 SMT Max QM3000 Automatic Pick and Place Machine SMT 01.04.2022 1 as is where is immediately
109589 Solitec FlexiFab Coater and Developer 150 mm 1 inquire
109590 Solitec 5100 Manual Spin Coater 150 mm 1 inquire
73208 Solitec 5110C Manually loading Photoresist Spin Coater 3 to 9 inch 01.09.1998 1 as is where is immediately
7689 Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser parts 01.06.1992 2 as is where is immediately
7690 Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion laser parts 01.06.1992 2 as is where is immediately
53037 Special Optics Half silvered mirror 10" X 14" spares 1 as is where is immediately
53038 Special Optics Custom Motorized Iris 6" spares 1 as is where is immediately
53039 Special Optics Mirror, 9" X 7" spares 1 as is where is immediately
53040 Special Optics APOD #113 spares 1 as is where is immediately
53043 Special Optics Beam expander spares 1 as is where is immediately
110507 SPTS A45494 Match SPARES 1 inquire
110508 SPTS A48870R Match SPARES 1 inquire
110509 SPTS AC1948 Match SPARES 1 inquire
110510 SPTS AS307416.07 Match SPARES 1 inquire
110511 SPTS AS310777.04 Match SPARES 1 inquire
110512 SPTS AS314055-03 Match SPARES 1 as is where is immediately
110513 SPTS AS317624.A Match SPARES 1 inquire
110514 SPTS AS317624.H Match SPARES 1 inquire
110515 SPTS AS318775.07 Match SPARES 1 inquire
110516 SPTS AS318775.07 Match SPARES 1 inquire
110517 SPTS AS325489.01 Match SPARES 1 inquire
110518 SPTS AS325489.02 Match SPARES 1 inquire
110519 SPTS AS325490.01 Match SPARES 1 inquire
110520 SPTS AS325490.01 Match SPARES 1 inquire
102623 SPTS Omega 201 Plasma Dry etcher (For spares use) 200 mm 01.05.2010 1 as is where is immediately
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories TEST 30.11.2005 1 inquire immediately
86279 ST Automation MT32SX Flash Memory testing System TEST 30.06.2005 1 as is where is immediately
86280 ST Automation PT-M1 Automated Test System Test 1 as is where is immediately
80177 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80178 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80179 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80180 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
78133 ST Automation QT200 Test System test 31.05.2007 1 as is where is immediately
80181 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80182 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80183 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80184 ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor TEST 30.09.2007 1 as is where is immediately
78137 ST Automation QT200 Tester System with monitor test 1 as is where is immediately
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation test 31.03.2007 1 as is where is immediately
102494 ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY TEST 30.09.2007 1 as is where is immediately
93822 ST Automation EPR88 Automated Test System TEST 31.05.2005 1 as is where is immediately
99969 ST Automation QT200 Automated Tester System with monitor test 31.05.2005 1 as is where is immediately
86670 ST Automation QT200 Automated Test System TEST 31.05.2005 1 as is where is immediately
93865 ST Automation QT 200 epr 88 Flash Memory Testing System Test 31.05.2005 1 as is where is immediately
101848 ST Automation MT32SX Automated Flash Memory Testing System test 31.05.2007 1 as is where is immediately
71904 ST Automation test head test head for Eprom U 1835 1 as is where is
71908 ST Automation PTM1 Flash Memory Tester Test 3 as is where is immediately
71910 ST Automation MT32SX Flash Memory Test System for 256 MB memory testing TEST 01.05.2008 1 as is where is immediately
84376 STARTECH GC9SF GENDER CHANGER 1 as is where is immediately
84297 Staubli 308998-001 RX90 robot controller spares 1 as is where is immediately
18864 STEAG AST 98050479 AST machine documentation 1 as is where is
109605 Strasbaugh 7AA-SP Grinder 1 inquire
109606 Strasbaugh 6EC CMP Wafer Polisher 1 inquire
108490 Strasbaugh 6DS-SP Multi-Process CMP 200 MM 1 as is where is
110521 STS ICP BALUN MATCH Match SPARES 1 inquire
69782 Super vexta udk5114n 5-phase driver 1 as is where is immediately
108299 Suss MicroTec CB200 High Pressure Wafer Bonding Chamber 200 mm 01.06.2012 1 as is where is immediately
106535 SUSS Microtec ACS200 Automated Photoresist Coater 200 mm 1 as is where is immediately
106536 SUSS Microtec ACS200 Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106537 SUSS Microtec ACS200 Classic Automated Photoresist Coater 200 mm 1 as is where is immediately
106538 SUSS Microtec ACS200 Classic Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106539 SUSS Microtec ACS200 Plus Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106541 SUSS Microtec MA200 MASK ALIGNER 150 mm/200 mm 01.06.1999 1 as is where is immediately
110737 Suss MicroTec Falcon Polyimide Photo-resist Developer Track, 2D 150-200 mm 01.06.1996 1 as is where is immediately
106913 SUSS Microtec MA150 (Spare Parts) spares 1 as is where is 2 weeks
110805 SUSS Microtec MA200CO Mask Aligner with topside alignment 01.07.2014 0 as is where is immediately
106954 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.2004 1 as is where is immediately
106955 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.1998 1 as is where is immediately
106669 SUSS MICROTECH PM8 Prober 200 mm 01.06.1996 1 as is where is
106670 SUSS MICROTECH RC 16 Resist Spin Coater N/A 1 as is where is
106671 SUSS MICROTECH RC 16(RC5) Resist Spin Coater N/A 1 as is where is
106672 SUSS MICROTECH RC 8-ACS 200 Resist Spin Coater 200 mm 1 as is where is
108979 SVG Thermco 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108980 SVG Thermco 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108982 SVG Thermco 606180-01 rev 04 WTU MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
108983 SVG Thermco 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL Spares 01.06.1998 1 as is where is immediately
108984 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB Spares 01.08.2001 1 immediately
108985 SVG Thermco VMEXB12D-CS VMEBus J1/J2 Common Substrate Extender Board Spares 01.06.2004 1 as is where is immediately
108986 SVG Thermco 165220-001 REV B EXTENDER BOARD Spares 1 as is where is immediately
106941 SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB Spares 1 as is where is immediately
106942 SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106943 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
79888 System General T9600 Universal Device Programmer Electronics Test and Measurement 01.05.2003 1 as is where is immediately
79890 Systron Donner DL 40 - 2A Powe Supply - single and dual voltage 1 as is where is immediately
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
110522 T&C POWER Power Supply Power Supply SPARES 1 inquire
110523 T&C POWER Power Supply Power Supply SPARES 1 inquire
110524 T&C POWER Power Supply Power Supply SPARES 1 inquire
110525 T&C POWER Power Supply Power Supply SPARES 1 inquire
110526 T&C POWER Power Supply Power Supply SPARES 1 inquire
71165 Taitec TEX 25C HEAT EXCHANGER FACILITIES 01.07.2000 2 as is where is immediately
106544 Takatori ATM-1100E Film Laminator - Taping Machine 150 mm/200 mm 01.06.1995 1 as is where is immediately
108877 TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System 1 inquire
27748 TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS 1 as is where is immediately
109015 Tazmo/Semix TR 6133UD Photoresist Coater and Developer tRACK, SOG type 100 mm to 150 mm 2 as is where is immediately
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR NEC CORPORATION 1 immediately
106834 TDK load port E3, E4, E4A, F1 30 0mm 16 as is where is immediately
110527 TDK RKW24 Power Supply SPARES 1 inquire
110528 TDK RKW24 Power Supply SPARES 1 inquire
110529 TDK RKW24 Power Supply SPARES 1 inquire
110530 TDK RKW24 Power Supply SPARES 1 inquire
110531 TDK RKW24 Power Supply SPARES 1 inquire
108878 TECHNICAL MFG. CORP. MICRO-g 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers SPARES 1 inquire
108879 TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table, 48" X 30" SPARES 1 inquire
108880 TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47" X 36" SPARES 1 inquire
84502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 17.8mm Mount 2 as is where is immediately
106150 Tegal 903E Dry Etcher 150 mm 1 as is all rebuilt immediately
106151 TEGAL 900 Plasma dry etch 100 mm 01.10.1984 1 as is where is immediately
106152 TEGAL 903E Plasma dry etch 100 mm 01.06.1985 1 as is where is immediately
110532 Tegal Tegal Match Match SPARES 1 inquire
110533 Tegal Tegal Match Match SPARES 1 inquire
110534 Tegal Tegal Match Match SPARES 1 inquire
110535 Tegal Tegal Match Match SPARES 1 inquire
110536 Tegal Tegal Match Match SPARES 1 inquire
110537 Tegal Tegal Match Match SPARES 1 inquire
110538 Tegal Tegal Match Match SPARES 1 inquire
110539 Tegal Tegal Match Match SPARES 1 inquire
110540 Tegal Tegal Match Match SPARES 1 inquire
110541 Tegal Tegal Match Match SPARES 1 inquire
110542 Tegal Tegal Match Match SPARES 1 inquire
110543 Tegal Tegal Match Match SPARES 1 inquire
110544 Tegal Tegal Match Match SPARES 1 inquire
110545 Tegal Tegal Match Match SPARES 1 inquire
110546 Tegal Tegal Match Match SPARES 1 inquire
110547 Tegal Tegal Match Match SPARES 1 inquire
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 01.07.2007 1 as is where is immediately
79590 Tektronix TDS 544A Color 4 channel 500 MHz digitizing oscilloscope with probes, accessories etc. Electronics Test and Measurement 01.05.2006 1 as is where is immediately
108774 TEKTRONIX 7704 Oscilloscope 1 as is where is
79597 Tektronix PS 280 DC Power supply (Working condition) Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79599 Tektronix 11801C Digital Sampling Oscilloscope 50 GHz Electronics Test and Measurement 01.01.2001 1 as is where is immediately
79601 Tektronix 2432A Digital Oscilloscope,250 MS/s, 2 channel, with GPIB Electronics Test and Measurement 1 as is where is immediately
111341 TEL (Tokyo Electron Ltd) ACT 8 (Parts) Carrier Send Block, SMIF 200 mm 01.06.2001 1 as is where is immediately
98304 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
98305 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
108036 TEL Tokyo Electron INDY PLUS BCD POLY 300 mm 01.07.2010 1 as is where is
98846 TEL Tokyo Electron VIGUS Mask Dielectric Etch 300 mm 30.04.2009 1 as is where is immediately
98847 TEL Tokyo Electron VIGUS RK2 Dielectric Etch 300 mm 31.07.2013 1 as is where is immediately
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
109093 TEL Tokyo Electron Expedius Acid Wet bench 300 mm 01.10.2006 1 as is where is immediately
110638 TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d 150 mm 1 as is where is
110639 TEL Tokyo Electron P-12XL Prober 300 mm 1 as is where is
110640 TEL Tokyo Electron P-12XL prober 300 mm 1 as is where is
110641 TEL Tokyo Electron Telius Dry Etcher 300 mm 1 as is where is
110642 TEL Tokyo Electron Telius SP-Vesta Dry Etcher 300 mm 1 as is where is
110643 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110644 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110645 TEL Tokyo Electron UNITY2e-855DD Dry Etcher 200 mm 1 as is where is
110646 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108599 TEL TOKYO ELECTRON P12XLM Prober 300 mm 01.05.2006 1 inquire immediately
110647 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108600 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2004 1 as is where is immediately
110648 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108601 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110649 TEL Tokyo Electron Unity2e-855II IEM Dry Etcher 200 mm 1 as is where is
108602 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110650 TEL Tokyo Electron Unity2e-855PP DP Dry Etcher 200 mm 1 as is where is
108603 TEL Tokyo Electron P12XLM Prober 300 mm 01.05.2006 1 as is where is immediately
110651 TEL Tokyo Electron Unity2e-855SS Dry Etcher 200 mm 1 as is where is
108604 TEL TOKYO ELECTRON Precio Nano FULLY AUTOMATED PROBER 300 mm 01.06.2012 1 as is where is immediately
110652 TEL Tokyo Electron Unity2e-85DPA Dry Etcher 200 mm 1 as is where is
108605 TEL Tokyo Electron ACT 8 Photoresist coater and developer track with 3C and 3D 200 mm 01.09.2001 1 as is where is immediately
110653 TEL Tokyo Electron Unity2E-85IEM Dry Etcher 200 mm 1 as is where is
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
109118 TEL TOKYO ELECTRON Trias CVD 300 mm 01.06.2010 14 as is where is immediately
110654 TEL Tokyo Electron Unity2e-85TPATC Dry Etcher 200 mm 1 as is where is
110655 TEL Tokyo Electron UW300Z Wet 300 mm 1 as is where is
110656 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
110657 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
108614 TEL Tokyo Electron P8XL Fully Automated Prober 200 mm 01.06.2001 1 as is where is immediately
108106 TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO 300 mm 1 as is where is
110666 TEL Tokyo Electron Interface module For Mark7 photoresist coater and developer spares 01.07.1997 1 as is where is immediately
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
108109 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108110 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108111 TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO 300 mm 1 as is where is
108112 TEL Tokyo Electron Indy-B-L Vertical Furnace 300 mm 1 as is where is
108113 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108114 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108115 TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride 300 mm 1 as is where is
108116 TEL Tokyo Electron Indy-I-L Vertical Furnace 300 mm 1 as is where is
108117 TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN 300 mm 1 as is where is
108118 TEL Tokyo Electron Interface module For Mark8 photoresist coater and developer spares 01.12.1995 1 as is where is immediately
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
108120 TEL Tokyo Electron TE8500 Dry Etch 200 mm 1 as is where is
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
110693 TEL TOKYO ELECTRON Mark 8 Polyimide Cure Track 200 mm 01.06.2009 1 as is where is immediately
103528 TEL Tokyo Electron EXPEDIUS DUMMY CLN 300 mm 1 as is where is
110698 TEL TOKYO ELECTRON Mark 5 Photoresist Track 150 mm 01.06.1991 1 as is where is immediately
91245 TEL TOKYO ELECTRON TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3) 300 mm 1 as is where is
93040 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2009 1 as is where is
93041 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93042 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
91255 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
91256 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91258 TEL TOKYO ELECTRON ALPHA-303i K type 300 mm 1 as is where is
91259 TEL TOKYO ELECTRON Telformula(ver.0) optimal thermal processing 300 mm 1 as is where is
108929 TEL TOKYO ELECTRON Alpha 8 SZ Vertical Furnace, Fast Thermal Oxidation process 200 mm 01.06.2001 2 as is where is immediately
96386 TEL Tokyo Electron Lithius Lithography Coater Developer 31.05.2007 0 as is where is immediately
93059 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93060 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm 01.11.1992 1 as is where is immediately
103557 TEL Tokyo Electron ALPHA 303I K type / Nitride 300 mm 1 as is where is
93062 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2004 1 as is where is
103558 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 1 as is where is
93063 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93064 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103560 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108936 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.04.2004 1 as is where is
93065 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103561 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108937 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.06.2007 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103565 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103566 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL SPARES 1 as is where is immediately
103567 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103568 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103569 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103570 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103571 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103572 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103573 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103574 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103575 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108695 TEL Tokyo Electron TRIAS High K Metal CVD and ALD system, NiOx, HfOx process 300 MM 01.06.2012 1 inquire
103576 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103577 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103578 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103579 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103580 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103581 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
103582 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
110752 TEL Tokyo Electron ACT 12 Double Block DUV Photoresist Coater and Developer Track 300 mm 01.01.2009 1 as is where is immediately
108707 TEL Tokyo Electron Tactras RLSA (Chamber) Polysilicon Dry Etch Chamber 300 MM 01.06.2012 1 inquire
108966 TEL Tokyo Electron ACT 12 DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L 200 mm 01.06.2018 1 inquire immediately
103597 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103598 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103599 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108207 TEL Tokyo Electron VIGUS_NEST Dry ETCHing System 300 mm 01.06.2007 1 as is where is
103600 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
106416 TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE 300 mm 01.07.2010 1 as is where is immediately
108208 TEL Tokyo Electron VIGUS_PX Dry Etcher 300 mm 01.06.2007 1 as is where is
103601 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108209 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103602 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108210 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103603 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108211 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
103604 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108212 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
109236 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103605 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109237 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103606 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109238 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109239 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109240 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109241 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109242 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103611 TEL Tokyo Electron INDY ALD High-K 300 mm 1 as is where is
109243 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103612 TEL Tokyo Electron INDY ALD High-K 300 mm 31.05.2011 1 as is where is
109244 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103613 TEL Tokyo Electron INDY Doped Poly 300 mm 1 as is where is
109245 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm 1 as is where is
103614 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 31.05.2014 1 as is where is
109246 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 300mm 1 as is where is
103615 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109247 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103616 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109248 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103617 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109249 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109250 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109251 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109252 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109253 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
103622 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2006 1 as is where is
109254 TEL TOKYO ELECTRON Expedius Batch Wafer Processing 300mm 1 as is where is
103623 TEL Tokyo Electron LITHIUS SINGLE BLOCK (for NIKON S205) 300 mm 31.05.2006 1 as is where is
109255 TEL TOKYO ELECTRON Expedius+ Batch Wafer Processing 300mm 1 as is where is
103624 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2004 1 as is where is
109256 TEL TOKYO ELECTRON Tactras DRM3 Dielectric Etch 300mm 1 as is where is
109257 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18890 TEL TOKYO ELECTRON 201345 Operations manual 1 as is where is
103626 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109258 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
103627 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
18892 TEL TOKYO ELECTRON 201341 Operations manual 1 as is where is
109260 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18893 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
91341 TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER 300 mm 31.05.2007 1 as is where is
103629 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109261 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18894 TEL TOKYO ELECTRON 201339 Operations manual 1 as is where is
103630 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109262 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18895 TEL TOKYO ELECTRON 201335 Operations manual 1 as is where is
103631 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2005 1 as is where is
109263 TEL TOKYO ELECTRON TELFORMULA Oxide Vertical Furnace 300mm 1 as is where is
18896 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
103632 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109264 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
109265 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
103634 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109266 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109267 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
108500 TEL Tokyo Electron Expedius Batch Wafer Processing 300 mm 3 as is where is
109268 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
109269 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109270 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109526 TEL TOKYO ELECTRON ACT12 (4C4D) PHOTORESIST COATER AND DEVELOPER TRACK 300mm 01.06.2010 1 as is where is
109271 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109272 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108505 TEL Tokyo Electron Tactras RLSA Poly Polysilicon Etch 300 mm 1 as is where is
109273 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108506 TEL Tokyo Electron Tactras Vesta Polysilicon Etch 300 mm 6 as is where is
109274 TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch 300mm 1 as is where is
108507 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
109275 TEL TOKYO ELECTRON Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm 1 as is where is
108508 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
108510 TEL Tokyo Electron Tactras Vigus Dielectric Etch 300 mm 1 as is where is
108511 TEL Tokyo Electron Tactras Vigus - Chamber Only Dielectric Etch 300 mm 1 as is where is
108513 TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch 300 mm 1 as is where is
108002 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, DRY OXIDE 200 mm 1 as is where is immediately
108003 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, TEOS PROCESS 200 mm 1 as is where is immediately
109027 TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process 200 mm 01.08.1999 1 as is where is immediately
108004 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, PYRO PROCESS 200 mm 1 as is where is immediately
108516 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108005 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, POCL3 PROCESS 200 mm 1 as is where is immediately
108517 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108523 TEL Tokyo Electron TELINDY Plus IRAD Oxide Vertical Furnace 300 mm 1 as is where is
109548 TEL Tokyo Electron P12XL Automatic Prober 300 mm 01.06.2005 63 as is where is immediately
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
108525 TEL Tokyo Electron TELINDY Plus process TBD Vertical Furnace 300 mm 1 as is where is
108527 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 300 mm 4 as is where is
87287 TEL Tokyo Electron TELFORMULA Nitride Vertical LPCVD Furnace 300 mm 31.05.2004 1 as is where is immediately
106744 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108536 TEL Tokyo Electron Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
106745 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108537 TEL Tokyo Electron Unity Me 85 DRM Dielectric Etch 200 MM 01.06.2013 1 as is where is immediately
106746 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
108538 TEL Tokyo Electron Unity Me 85 SCCM Dielectric Etch 200 MM 1 as is where is
98299 TEL Tokyo Electron FORMULA Vertical LPCVD Furnace 300 mm 1 as is where is
106747 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
106748 TEL TOKYO ELECTRON LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2005 1 as is where is
106749 TEL TOKYO ELECTRON LITHIUS i+ High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
109565 TEL Tokyo Electron Unity SCCM Shin Oxide Etcher with qty 3 chambers 300 mm 01.05.2005 1 as is where is immediately
98302 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2006 1 as is where is
106750 TEL TOKYO ELECTRON TEL TRIAS CVD Cluster tool 300 mm 1 as is where is
98303 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2004 1 as is where is
106751 TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool 300 mm 1 as is where is
108881 TELEMARK 259 Electron Beam Source, Mounted on DN200CF Flange with HV, Water & Instrumentation Feedthroughs SPARES 1 inquire
108882 TELEMARK TT-3 Electron Beam Power Supply with TT-3/6 Control & XY Sweep SPARES 1 inquire
108883 TEMESCAL BJD-1800 E-Beam Evaporator with CV-14 Power Supply 1 inquire
108884 TEMESCAL FCE-2500 E-Beam Evaporator with Simba 2 Power Supply 1 inquire
108885 TEMESCAL VES-2550 E-Beam Evaporator with CV-14 Power Supply 1 inquire
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET TP22-2 01.12.1986 1 as is where is immediately
83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD SPARES 1 as is where is immediately
83575 TENCOR INSTRUMENTS AC 100V POWER SW AC 100 V 01.04.1996 1 as is where is
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
106752 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 01.06.1998 1 as is where is
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
106753 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 01.06.2000 1 as is where is
106754 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 1 as is where is
108301 Teradyne Catalyst Mixed SIGNAL TEST SYSTEM TEST 01.06.2000 1 as is where is
78361 Teradyne J971SP (Spares) Boards from VLSI test system Test 01.01.1997 1 as is where is immediately
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC Spares 01.06.1997 1 as is where is immediately
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
108607 TERADYNE IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing TEST 01.06.2012 1 as is where is immediately
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 Spares 2 as is where is immediately
78168 Teradyne 950-656-00 rev B PCB from test system Spares 01.06.2000 1 as is where is immediately
80216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 Spares 1 as is where is immediately
80217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 Spares 1 as is where is immediately
80218 Teradyne 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 Spares 1 as is where is immediately
80219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 Spares 2 as is where is immediately
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX Spares 1 as is where is immediately
80221 Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 Spares 1 as is where is immediately
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 as is where is immediately
80223 Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 Spares 2 as is where is immediately
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 Spares 2 as is where is immediately
80225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 Spares 1 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
80227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 1 as is where is
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
108912 Teradyne UltraFLEX Automated Test System TEST 01.05.2011 1 as is where is immediately
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 01.04.1997 1 as is where is immediately
108213 TERADYNE CATALYST EDS/ TEST test 1 as is where is
108987 TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108988 TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108990 TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 01.06.1997 1 immediately
108991 TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108992 TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
80322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 1 as is where is immediately
108994 TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80323 Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx Spares 1 as is where is immediately
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A Spares 1 as is where is immediately
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 as is where is immediately
80326 Teradyne 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 2 as is where is
80327 Teradyne 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 Spares 1 as is where is immediately
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 as is where is immediately
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 Spares 1 as is where is immediately
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 as is where is immediately
80331 Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 Spares 1 as is where is immediately
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 as is where is immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
54232 Teradyne J994 Memory Tester test 01.05.2000 1 as is where is immediately
82925 Teradyne 405-155-00 Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A Spares 01.10.1997 1 as is where is immediately
108886 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks 1 inquire
108887 TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available SPARES 1 inquire
108888 TERRA UNIVERSAL Desiccator Box, 12"x11"x12" SPARES 1 inquire
108776 TERRA UNIVERSAL Dessicator Box 1 as is where is
108608 TES CHALLENGER_ST Plasma-Enhanced CVD system 300 mm 01.05.2010 1 as is where is immediately
80266 TESCOM 150 REGULATORS PRESSURE 3 as is where is immediately
70299 Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE) SPARES 3 as is where is immediately
107956 Thamway A161 RF Generator Spares 1 as is where is immediately
110549 Thamway A161 RF Generator SPARES 1 as is where is immediately
108214 THERMAL GYE-12000 Evaporator System 200 mm 1 as is where is
91569 Thermo Fisher ECO 1000 FTIR System 200 mm 01.05.2000 1 as is where is immediately
108609 THERMONICS T-2500SEA Precision Temperature Forcing System 300 mm 01.05.2011 1 as is where is immediately
108889 THERMONICS T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work ASSEMBLY 1 inquire
84243 THK 280L Linear Bearing and guide 1 as is where is immediately
84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING Spares 3 as is where is immediately
84246 THK RSR 15 LINEAR WAY WITH 7 BEARINGS Spares 1 as is where is immediately
84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING Spares 2 as is where is immediately
84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84250 THK Y8A31 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84252 THK 689 LINEAR WAY WITHOUT BEARING Spares 1 as is where is immediately
84253 THK RSR12VM LINEAR WAY WITH 2 BEARINGS Spares 1 as is where is immediately
84257 THK KS 3J22 RELIANCE BEARING 1 as is where is immediately
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 SPARES 2 as is where is immediately
83877 THK CO.,LCD OR17 BLOCK SR-2V 5 as is where is immediately
83878 THK CO.,LCD SC35uu LM CASE UNIT 2 as is where is immediately
83879 THK CO.,LCD FBA 5 FLAT BALL 2 as is where is immediately
108128 TOK 12262 Wafer bonder 1 as is where is
108129 TOK 12263 Wafer Debonder 1 as is where is
83827 TOKIMEC VA12134A DIRECTIONAL CONTROL VALVE 1 as is where is immediately
83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 as is where is immediately
83831 TOKYO ELECRON 015 RELAY SPARES 1 as is where is immediately
83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 SPARES 1 as is where is immediately
108039 Tokyo Electron Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
77088 Tolomatic 11240741 Tolomatic cylinder, replacement for AMI tools 1 as is where is
108130 TORAY FC3000L2 FLIP CHIP Line 0 as is where is
103635 Toray SP-500w Bump Height Measurement 300 mm 1 as is where is
108777 TOWA CC-S Injection Molding Press, 2ea Available 01.01.2000 1 as is where is immediately
108778 Towa CC-S Injection Molding Press Assembly 01.05.2000 1 as is where is
110550 Trazar AMU10A-1 Match SPARES 1 inquire
109527 TRAZAR AMU10E-2 RF GENERATOR Spares 2 as is where is
110551 Trazar AMU10B-1 Match SPARES 1 inquire
109528 TRAZAR AMU2-1 RF GENERATOR Spares 6 as is where is
110552 Trazar AMU10B-1 Match SPARES 1 inquire
109529 TRAZAR SRN1-2 RF GENERATOR Spares 4 as is where is
110553 Trazar AMU10D-4 Match SPARES 1 inquire
109530 TRAZAR SRN1-3 RF GENERATOR Spares 1 as is where is
110554 Trazar AMU10D-4 Match SPARES 1 inquire
109531 TRAZAR SRN2 RF GENERATOR Spares 1 as is where is
110555 Trazar AMU10G-1 Match SPARES 1 inquire
110556 Trazar AMU2B-1 Match SPARES 1 inquire
110557 Trazar AMU2B-1 Match SPARES 1 inquire
110558 Trazar AMU2B-1 Match SPARES 1 inquire
110559 Trazar AMU2B-1 Match SPARES 1 inquire
110560 Trazar AMU2B-1 Match SPARES 1 inquire
110561 Trazar AMU2B-1 Match SPARES 1 inquire
110562 Trazar AMU2D-1 Match SPARES 1 inquire
110563 Trazar AMU3-20 Match SPARES 1 inquire
110564 Trazar AMU5-1 Match SPARES 1 inquire
110565 Trazar AMU5C-1 Match SPARES 1 inquire
110566 Trazar AMU5C-1 Match SPARES 1 inquire
110567 Trazar AMU5D-1 Match SPARES 1 inquire
110568 Trazar ESC Control Match SPARES 1 inquire
110569 Trazar IG270 Match SPARES 1 inquire
110570 Trazar RFS1-3 Switch Box Miscellaneous SPARES 1 inquire
110571 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110572 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110573 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110574 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110575 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110576 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110577 Trazar SRN1-2 Match SPARES 1 inquire
110578 Trazar (Phoenix) AMU10A-1S Match SPARES 1 inquire
110579 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110580 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110581 Trikon Trikon M6 Match SPARES 1 inquire
110582 Trikon Trikon M6 Match SPARES 1 inquire
110583 Trikon Trikon M6 Match SPARES 1 inquire
108305 Trumpf HL 101 P CNC laser cutter 01.06.2010 1 as is where is
108306 Trumpf HL 101 P CNC laser cutter 01.06.2009 1 as is where is
108307 Trumpf HL 101 P CNC laser cutter 01.06.1996 1 as is where is
108308 Trumpf HL101P CNC laser cutter 01.06.1997 1 as is where is
97079 Trumpf TruMicro 7240 Laser source 30.11.2012 1 inquire
110584 Trumpf TruPlasma RF1003 Generator SPARES 1 inquire
110585 Trumpf TruPlasma RF1003 Generator SPARES 1 inquire
106551 TT Vision T-224X TT Vision - Post Tape Inspection 1 as is where is
84370 Tylan MDVX-015 Throttle Valve, KF40, with vexta motor driver Spares 1 as is where is immediately
108890 ULTRACISION 860 Manual Wafer Prober, for up to 200mm Wafers 200 MM 1 inquire
106553 Ultratech 4700 Stepper 150 mm/200 mm 01.06.1996 1 as is where is immediately
106554 Ultratech 6700 Stepper 150 mm/200 mm 1 as is where is immediately
103807 Ultratech Titan II Stepper 150 mm 1 inquire immediately
106557 Ultron UH108 Ultron Tabletop Laminator 150 mm/200 mm 01.06.2005 1 as is where is immediately
106559 Ultron UHI08-12 Ultron Tabletop Laminator 200 mm / 300 MM 1 as is where is immediately
87615 United Detector Technology, Inc. 40X Laser Power Meter Test 31.05.1992 1 as is where is immediately
106560 V-Tek TM-330 TM-330 150 mm/200 mm 1 as is where is
108891 V-TEK TM-50 Manual Taping Machine 1 inquire
110586 Vante Sealer Sealing SPARES 1 inquire
110587 Vante Sealer Sealing SPARES 1 inquire
110588 Vante Sealer Sealing SPARES 1 inquire
110589 Vante Sealer Sealing SPARES 1 inquire
80175 VAT PM-5 Adaptive Pressure controller spares 01.01.2012 1 as is where is immediately
101025 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101026 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101027 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
110592 Verteq Verteq Generator SPARES 1 inquire
107010 Verteq 1600-34 Spin Rinse Dryer (Single Stack) 150 mm 01.03.1997 1 as is where is immediately
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 100 mm 01.04.1986 2 as is where is immediately
107028 Verteq 1600-34 Double Stack Spin Rinse Dryer 150 mm 01.03.1995 1 as is where is immediately
108973 Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
108215 VERTEQ SUPERCLEAN 1600 Spin Rinser Dryer 1 as is where is
83826 VEXTA UPH569H-B 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
103382 Vexta BLD1024H Brushless DC Motor Driver, DC24V Spares 01.05.1995 1 as is where is immediately
106755 VISTEC LWM9000 CD SEM for 65 nm mask measurement Reticle / 150 mm 01.06.2005 1 as is where is immediately
84233 Viton 43-2-131 O-ring seal, Roth & Rau Spares 1 as is where is immediately
108895 VLSI STANDARDS INC. Various Step Height Standards, Various Models, 12ea Available SPARES 1 inquire
111404 VOTSCH VC-4020 Temperature and Humidity Chamber Reliability 1 as is where is immediately
106756 WAFER MASTERS SAO-302LP TSV Cu Anneal 300 mm 01.06.2000 1 as is where is
98475 Watkin Johnson UTC 800 Atmospheric wafer handling robot( WJ-999) 150-200mm 3 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW Spares 1 as is where is immediately
82219 WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller spares 31.05.1999 2 as is where is immediately
108782 WENTWORTH LABS HOP "Hands Off Probe" Micropositioner, 2ea Available 1 as is where is
109033 WEST BOND 10490 Convertible Bonder , Wedge to Ball Assembly 1 as is where is immediately
109034 WEST BOND 2416B Automatic Wedge Bonder Assembly 1 as is where is immediately
108783 WEST-BOND 7200A Manual Epoxy Die Bonder Assembly 1 as is all rebuilt immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
53033 Yamatake honeywell WLS302 switch spares 1 as is where is immediately
71497 ZENITH ZPS-400 Multiple voltage power supply spares 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry